صور - Pexels - CC BYوفقًا
لـ PWC ، ينمو سوق تكنولوجيا أشباه الموصلات - حيث وصل العام الماضي إلى 481 مليار دولار. لكن معدل نموها
انخفض مؤخرا. من بين أسباب الركود تعقيد عمليات تصميم الجهاز ونقص الأتمتة.
منذ بضع سنوات ،
كتب مهندسو Intel أنه عند إنشاء معالج دقيق عالي الأداء ، يتعين عليك استخدام 100-150 من أدوات البرامج المنفصلة (
EDA ). قد يتفاقم الموقف في حالة الأجهزة غير المتجانسة ، التي تتضمن هيكلها عدة أنواع مختلفة من الرقائق - ASIC أو FPGA أو CPU أو GPU. نتيجة لذلك ، تحدث أخطاء في التصميم تؤدي إلى تأخير إصدار المنتجات.
على الرغم من العدد الكبير من الأدوات المساعدة ، لا يزال المهندسين مجبرين على القيام بجزء من العمل يدويًا. يقول مؤلفو كتاب Advanced Logic Synthesis أنه في بعض الأحيان يتعين على المصممين كتابة نصوص في Skill أو Python من مليوني خط لتشكيل مكتبات تحتوي على خلايا .
تتم كتابة البرامج النصية أيضًا لتحليل التقارير الناتجة عن أنظمة EDA. عند تطوير شريحة باستخدام تقنية معالجة 22 نانومتر ، يمكن أن تستغرق هذه التقارير ما يصل إلى 30 تيرابايت.
قرروا تصحيح الموقف ومحاولة توحيد عمليات التصميم في DARPA. وتعتقد الوكالة أيضًا أن الأساليب الحالية لإنشاء الرقائق قديمة.
أطلقت المنظمة برنامج
OpenROAD ومدته خمس سنوات ، والغرض منه هو تطوير أدوات جديدة لأتمتة عمليات تصميم الرقاقات.
أي نوع من البرنامج
يتضمن البرنامج العديد من المشاريع التي تستخدم التعلم الآلي والتكنولوجيا السحابية لأتمتة المراحل الفردية لإنشاء رقاقة. كجزء من المبادرة
، يتم تطوير أكثر من عشر أدوات (المخطط 1). بعد ذلك ، سنتحدث أكثر عن بعضها: Flow Runner و RePlAce و TritonCTS و OpenSTA.
Flow Runner هي أداة لإدارة مكتبات RTL و GDSII. الأخير هو ملفات قاعدة البيانات التي هي المعيار الصناعي لتبادل المعلومات حول الدوائر المتكاملة والطوبولوجيا الخاصة بهم. يعتمد الحل على تقنية حاوية Docker. يمكنك تشغيل Flow Runner سواء في السحابة أو في أماكن العمل. دليل التثبيت موجود في المستودع الرسمي
على جيثب .
RePlAce عبارة عن حل تعليمي يستند إلى مجموعة النظراء ، وهو مسؤول عن وضع المكونات على شريحة وأتمتة التتبع. وفقًا
لبعض التقارير ، تزيد الخوارزميات الذكية من كفاءة الأداة بنسبة 2-10٪ مقارنة بالأنظمة الكلاسيكية. بالإضافة إلى ذلك ، التنفيذ في السحابة يبسط التوسع. يكمن دليل التثبيت والتكوين أيضًا
في المستودع .
TritonCTS هي أداة لتحسين نبضات الساعة الموفرة للرقاقة. يساعد ساعات المسار إلى جميع أجزاء الجهاز بنفس الكمون. ويستند مبدأ العملية على
H- الأشجار . هذا النهج
يزيد من كفاءة توزيع الإشارة بنسبة 30 ٪ ، مقارنة مع الطرق التقليدية. يقول المطورون إنه في المستقبل يمكن زيادة هذا الرقم إلى 56٪. شفرة المصدر TritonCTS والبرامج النصية متوفرة
على جيثب .
OpenSTA هو محرك تحليل الوقت ثابت. يعطي المطور الفرصة للتحقق من أداء الشريحة حتى قبل التجميع الفعلي. نموذج التعليمات البرمجية في OpenSTA
يشبه هذا.
@@ -6,7 +6,7 @@ read_liberty -corner ff example1_fast.lib read_verilog example1.v link_design top set_timing_derate -early 0.9 set_timing_derate -early 1.1 set_timing_derate -late 1.1 create_clock -name clk -period 10 {clk1 clk2 clk3} set_input_delay -clock clk 0 {in1 in2} # report all corners
تدعم الأداة وصف netlist لرمز Verilog والمكتبات بتنسيق Liberty وملفات SDC وما إلى ذلك.
مزايا وعيوب
يلاحظ خبراء من IBM و IEEE أن الحوسبة السحابية والتعلم الآلي يستخدم منذ فترة طويلة في تصنيع الرقاقات. في رأيهم ، يمكن أن يكون مشروع DARPA مثالاً ناجحًا لتنفيذ هذه الفكرة
وسيبدأ تغييرات في الصناعة.
من المتوقع أيضًا أن تسمح الطبيعة المفتوحة لـ OpenROAD بتكوين مجتمع قوي حول الأدوات وجذب الشركات الناشئة الجديدة.
صور - Pexels - CC BYيوجد بالفعل مشاركون - المختبر المشترك في تطوير الرقائق على أساس جامعة ميشيغان
سيكون أول من يختبر أدوات OpenROAD المفتوحة. ولكن لا يزال من غير المعروف ما إذا كانت الحلول الجديدة ستكون قادرة على التأثير بشكل ملحوظ على تكلفة المنتجات النهائية.
بشكل عام ، من المتوقع أن يكون للأدوات التي تم تطويرها تحت قيادة DARPA تأثير إيجابي على صناعة المعالجات ، وسيبدأ ظهور المزيد والمزيد من المشاريع الجديدة في هذا المجال. على سبيل المثال ، ستكون أداة
gEDA - تتيح لك تصميم الرقائق مع عدد غير محدود من المكونات. تتضمن gEDA أدوات مساعدة لتحرير ونمذجة الرقائق ولوحات التتبع. تم تطوير الحل لأنظمة UNIX ، ولكن هناك عددًا من مكوناته تعمل أيضًا في نظام Windows. يمكن العثور على إرشادات حول العمل معهم
في الوثائق الموجودة على موقع المشروع على الويب .
توفر الأدوات الموزعة بحرية المؤسسات المستقلة والشركات الناشئة المزيد من الفرص. من المحتمل أنه بمرور الوقت ، يمكن أن تصبح أساليب OpenROAD الجديدة لتطوير أدوات EDA وإنشاء الرقائق معيارًا صناعيًا.
ما نكتب عنه في مدونة الشركة: