Del 24 al 29 de abril, se realizará una serie de seminarios para escolares en Kiev, que no se verán como clases ordinarias con procesadores incorporados que Lego / Arduino / Rasberry Pye usan como estándar. El objetivo principal de los seminarios no es enseñar cómo programar procesadores, sino mostrar los principios de cómo se diseñan los procesadores en su interior. Es como la diferencia entre "enseñar a conducir un automóvil" y "enseñar a diseñar un motor". En los seminarios, los estudiantes utilizarán el lenguaje de descripción de dispositivos Verilog y la síntesis lógica, tecnologías que utilizan los desarrolladores de chips digitales de Apple, Samsung y otras compañías electrónicas.
La página oficial principal del seminario,
registro allí .
Para los ejercicios, se utilizan tableros con FPGA: matrices de elementos lógicos con una función variable (este no es un "procesador + memoria" ordinario). Los FPGA se han utilizado durante mucho tiempo para enseñar a los estudiantes cómo diseñar dispositivos electrónicos en Stanford y MIT, y más recientemente en universidades rusas y ucranianas. Usar FPGA para educar a los escolares es un experimento, y usted tiene la oportunidad de participar en él. Para facilitar la entrada en Verilog y FPGA, primero realizaremos ejercicios con microcircuitos con un pequeño grado de integración en placas de prototipos sin soldadura. Luego nos ocuparemos de los FPGA y en el último día de seminarios compararemos el diseño de FPGA con la programación de microprocesadores para Internet de las cosas.
Finalmente verá lo que está sucediendo entre el transistor y Arduino, ¡en el área donde los estudiantes rara vez miran!

Programa para estudiantes de secundaria y juniorLunes 24 de abril. Comenzamos el camino hacia FPGA: lógica combinacional y aritmética binaria en microcircuitos de pequeño grado de integraciónUbicación: Belka KPI Student Space
- 16: 00-17: 00 Alexander Barabanov, KNU. De la física a la lógica.
- Qué es corriente, voltaje y resistencia.
- ¿Cómo funciona el tablero?
- Ejercicio 1. El primer circuito con batería, LED y resistencia. ¿Por qué conectar una resistencia a un circuito con un LED?
- Qué son los transistores y cómo se construyen los elementos lógicos a partir de ellos.
- Ejercicio 2. Observar el funcionamiento del transistor.
- 17: 00-18: 00 Yuri Panchul, Imagination Technologies. Álgebra booleana de lógica, elementos lógicos AND-OR-NOT.
- Ejercicio 3. Cada alumno recibe un chip lógico personal de la serie CMOS 4000, con una descripción técnica del fabricante, con la tarea de dibujar una tabla de verdad, demostrar su trabajo y describir verbalmente la función. Elementos lógicos AND, OR, XOR, NOR, NAND con un número diferente de entradas.
- Ejercicio 4. Estudiamos qué son las resistencias pull-up y por qué son necesarias. Agregue 3 botones y resistencias pull-up al ejercicio.
- 18: 00-19: 00 Aritmética binaria, suma y multiplicación.
- Ejercicio 5. Cada estudiante recibe un sumador CMOS 4008. Demostración del sumador en el tablero.
- 19: 00-21: 00 Ejercicios adicionales para aquellos que hicieron frente a los ejercicios 1-5 y no quieren volver a casa.
- Ejercicio 6. Un indicador de siete segmentos y su controlador, como ejemplo de un circuito combinacional. Conclusión del resultado del sumador al indicador de siete segmentos.
Martes 25 de abril. Agregue memoria: lógica secuencial en microcircuitos con un pequeño grado de integración.- 16: 00-16: 30 Yuri Panchul. La lógica secuencial es lo que hace que una computadora sea "inteligente", le da memoria y la capacidad de repetir operaciones.
- 16: 30-17: 00 Yuri Panchul e instructores en el KPI.
- ¿Qué es un D-trigger?
- Ejercicio 7. Conectamos el generador de reloj basado en el temporizador 555 en el D-flip-flop y examinamos el funcionamiento del circuito compilado.
- 17: 00-19: 00 Elementos más complejos de lógica secuencial.
- Ejercicio 8. Registro de cambios y luces móviles.
- Ejercicio 9. Contrarresta con la conclusión de un estado en el indicador de siete segmentos.
- 19: 00-21: 00 Eugene Korotky, KPI. Ejercicios adicionales para aquellos que completaron los ejercicios 6-8 y no quieren irse a casa.
- Ejercicio 10. Examinamos el generador de reloj basado en el temporizador de chip 555. Observamos cómo el período de la frecuencia del reloj varía según las resistencias de las resistencias y la capacitancia del condensador.
Miércoles 26 de abril. Lógica combinacional y aritmética binaria en Verilog y FPGA.Ubicación: Espacio estudiantil Belka KPI.
- 16: 00-16: 30 Yuri Panchul, así como instructores del KPI, KNU. Introducción rápida al lenguaje de descripción de hardware Verilog y el uso de síntesis lógica para FPGA / FPGA. Consideramos solo la lógica combinacional.
- 16: 30-17: 00 Instructores de KPI, KNU. Raman lógica en Verilog.
- Ejercicio 11. Repita el ejercicio 2 (elementos lógicos) en el FPGA usando la placa Digilent CMOD A7 35T: Módulo FPGA Artix-7 Breadboardable.
- Ejercicio 12. Mostramos las primeras letras de nuestro nombre y apellido en un indicador de siete segmentos, alternando entre ellas usando el botón.
- Ejercicio 13. Repita el ejercicio 3 (sumador) en el FPGA. El resultado se muestra en un indicador de siete segmentos.
- 19: 00-21: 00. Ejercicios adicionales para aquellos que han dominado los ejercicios 9-11 y no quieren volver a casa.
- Ejercicio 14. La jerarquía de los módulos. Construcción de multiplexores con submódulos.
- Ejercicio 15. Modelado del código Verilog sin FPGA. Creamos un entorno para verificar el funcionamiento del circuito descrito en Verilog. Utilizamos el simulador Icarus Verilog para modelar y el programa GTKWave para ver diagramas de tiempo.
Código de ejemplo en el lenguaje de descripción de hardware Verilog, que se traduce (sintetiza) en un circuito:
module counter ( input clock, input reset_n, output reg [31:0] count ); always @(posedge clock or negedge reset_n) begin if (! reset_n) count <= 32'b0; else count <= count + 32'b1; end endmodule //---------------------------------------------------------------------------- module seven_segment_display_driver ( input [3:0] number, output reg [6:0] abcdefg ); // abcdefg dp // 7 6 4 2 1 9 10 5 7- // 7 6 5 4 3 2 1 pio // --a-- // | | // fb // | | // --g-- // | | // ec // | | // --d-- always @* case (number) 4'h0: abcdefg = 7'b1111110; 4'h1: abcdefg = 7'b0110000; 4'h2: abcdefg = 7'b1101101; 4'h3: abcdefg = 7'b1111001; 4'h4: abcdefg = 7'b0110011; 4'h5: abcdefg = 7'b1011011; 4'h6: abcdefg = 7'b1011111; 4'h7: abcdefg = 7'b1110000; 4'h8: abcdefg = 7'b1111111; 4'h9: abcdefg = 7'b1111011; 4'ha: abcdefg = 7'b1110111; 4'hb: abcdefg = 7'b0011111; 4'hc: abcdefg = 7'b1001110; 4'hd: abcdefg = 7'b0111101; 4'he: abcdefg = 7'b1001111; 4'hf: abcdefg = 7'b1000111; endcase endmodule //---------------------------------------------------------------------------- module top ( input CLK, // 12 MHz inout [48:1] pio // GPIO, General-Purpose Input/Output ); wire reset_n = ! pio [8]; wire [31:0] count; counter counter_i ( .clock ( CLK ), .reset_n ( reset_n ), .count ( count ) ); seven_segment_display_driver display_driver_i ( .number ( count [26:23] ), .abcdefg ( pio [ 7: 1] ) ); endmodule
Jueves 27 de abril. Lógica secuencial y máquinas de estado en Verilog y FPGA.Ubicación: Espacio estudiantil Belka KPI.
- 16: 00-17: 00. Yuri Panchul. Lógica secuencial en Verilog, máquinas de estado, el concepto de metodología RTL (nivel de transmisión de registro), retrasos de propagación de señal y restricciones en la síntesis de circuitos digitales, determinando la frecuencia de reloj máxima posible de un circuito digital.
- 17: 00-19: 00. Instructores de KPI, KNU. Lógica secuencial en Verilog.
- Ejercicio 16. Contraataque con la conclusión de un estado en el indicador de siete segmentos. Implementación del ejercicio 9 en la FPGA.
- Ejercicio 17. Registro de cambios y luces móviles. Implementación del ejercicio 8 en la FPGA.
- Ejercicio 18. Generación de tonos de sonido de varias frecuencias y sus superposiciones.
- 19: 00-21: 00. Ejercicios adicionales para aquellos que hicieron frente a los ejercicios 17-18 y quieren trabajar.
- Ejercicio 19. “Un caracol sonríe”: un ejemplo de una máquina de estados finitos.
- Ejercicio 20. Construcción de un transportador aritmético. Utilizamos el simulador Icarus Verilog para configurar circuitos secuenciales.
Viernes 28 de abril. Comparación del diseño del circuito con la programación de microprocesadores integrados.Ubicación: Espacio estudiantil Belka KPI.
- 16: 00-16: 30. Yuri Panchul. Cómo la programación difiere de los circuitos. La máquina von Neumann es un caso especial de circuitos electrónicos. Programas como una cadena de instrucciones para una máquina von Neumann. Descripción general del núcleo del microprocesador utilizado en MediaTek MT7688 y Microchip PIC32MZ.
- 16: 30-19: 00 Eugene Korotky. Ejemplo: plataforma LinkIt Smart 7688 Internet of Things con tecnología MediaTek MT7688.
- El ejercicio 21. parpadea un LED usando un programa Python.
- Ejercicio 22. Lee datos del sensor de luz ambiental Digilent PMOD ALS utilizando el protocolo SPI.
- Ejercicio 23. Introducción al concepto de interrupciones.
- 19: 00-21: 00. Ejercicios adicionales para aquellos que han dominado los ejercicios en el LinkIt Smart 7688 y desean continuar trabajando. Ejemplo: Microcontrolador Microchip PIC32.
- El ejercicio 24. parpadea un LED usando un programa en C.
- Ejercicio 25. Lee datos del sensor de luz Digilent PMOD ALS utilizando el protocolo SPI.
- Ejercicio 26. Descarga del programa principal usando interrupciones. Las interrupciones del temporizador desencadenan una transacción SPI; las interrupciones del SPI señalan la recepción de un paquete.
Sábado 29 de abril. HackathonLugar: Universidad Nacional "Academia Kyiv-Mohyla"
- Proyectos con microcircuitos de pequeño grado de integración: "Detector de humedad del suelo", "Sirena de policía"
- Proyectos con FPGA: interfaces para sensores, máquinas de estado del tipo "código de bloqueo"
- Proyectos con microcontroladores y microprocesadores integrados para Internet de las cosas: conexión con sensores y actuadores
Programa para estudiantes de primaria.Abril intensivo "Introducción a la electrónica"Lunes 24 de abril. Familiaridad con lo básico: ley de Ohm, elementos electrónicos pasivos (resistencias y condensadores)
Lugar: Oficina de Radiomag Ukraine LLC, Chokolovsky Boulevard, 42-A
Hora: 17: 00-19: 00
Profesor: Sergey Chenash
- ¿Qué es corriente, voltaje y resistencia, la ley de Ohm?
- ¿Cómo es el tablero
- Cómo trabajar con un multímetro
- Resistencias y su aplicación.
- Condensador como dispositivo de almacenamiento de carga eléctrica y su aplicación.
Martes 25 de abril. Componentes electrónicos activos: estructura y principios de funcionamiento de diodos y transistores.
Lugar: Oficina de Radiomag Ukraine LLC, Chokolovsky Boulevard, 42-A
Hora: 17: 00-19: 00
Profesor: Sergey Chenash
- Características de los semiconductores, estructura de la unión pn.
- Cómo funcionan los diodos y su clasificación
- Principios de funcionamiento de los transistores y su aplicación.
Jueves 27 de abril. Estudios de los elementos de la optoelectrónica: LED, fotoresistores, fototransistores, optoacopladores.
Lugar: Oficina de Radiomag Ukraine LLC, Chokolovsky Boulevard, 42-A
Hora: 17: 00-19: 00
Profesor: Sergey Chenash
- Elementos de optoelectrónica, principios de funcionamiento y aplicación.
Viernes 28 de abril. Presentamos el chip NE555
Lugar: Oficina de Radiomag Ukraine LLC, Chokolovsky Boulevard, 42-A
Hora: 17: 00-19: 00
Profesor: Sergey Chenash
- ¿Qué son los microcircuitos y su clasificación?
- Tipos de señales en electrónica
- Familiaridad con el chip NE555, creando un generador
- Trabajando con un osciloscopio
Sábado 29 de abril. Soldadura adicional
Lugar: Oficina de Radiomag Ukraine LLC, Chokolovsky Boulevard, 42-A
Hora: 11: 00-12: 30

¡Nos vemos en los seminarios!
- Laboratorio Abierto de Electrónica Lampa y Espacio Estudiantil Belka, Universidad Técnica Nacional de Ucrania "Instituto Politécnico de Kiev llamado así por Igor Sikorsky"
- Universidad Nacional de Kiev Taras Shevchenko
- Universidad Nacional "Academia Kyiv-Mohyla"
- Pequeña Academia de Ciencias de Ucrania
- LLC "Radiomag de Ucrania"
- Tecnologías de imaginación
La página oficial principal del seminario,
registro allí .