24-29 अप्रैल, स्कूली बच्चों के लिए सेमिनारों की एक श्रृंखला कीव में आयोजित की जाएगी, जो कि बिल्ट-इन प्रोसेसर के साथ सामान्य वर्गों की तरह नहीं दिखेगी जो कि लेगो / अरडिनो / रास्पबेरी पाइ मानक के रूप में उपयोग करते हैं। सेमिनारों का मुख्य फोकस प्रोसेसर को कैसे प्रोग्राम करना है, यह सिखाना नहीं है, बल्कि प्रोसेसर के अंदर कैसे डिजाइन किए गए हैं, इसके सिद्धांतों को दिखाना है। इसे "कार चलाना कैसे सिखाएं" और "कैसे एक इंजन डिजाइन करना सिखाएं" के बीच का अंतर पसंद है। सेमिनार में, छात्र वेरिलोग डिवाइस विवरण भाषा और तार्किक संश्लेषण का उपयोग करेंगे - जो कि डिजिटल चिप डेवलपर्स द्वारा ऐप्पल, सैमसंग और अन्य इलेक्ट्रॉनिक कंपनियों में उपयोग किए जाते हैं।
सेमिनार का मुख्य आधिकारिक पेज,
वहां पंजीकरण ।
अभ्यास के लिए, FPGAs के साथ बोर्डों का उपयोग किया जाता है - एक चर समारोह के साथ तार्किक तत्वों के मैट्रिक्स (यह एक साधारण "प्रोसेसर + मेमोरी नहीं है")। FPGAs लंबे समय से छात्रों को स्टैनफोर्ड और एमआईटी में इलेक्ट्रॉनिक्स डिजाइन करने के लिए सिखाने के लिए इस्तेमाल किया गया है, और हाल ही में रूसी और यूक्रेनी विश्वविद्यालयों में। स्कूली बच्चों को शिक्षित करने के लिए FPGAs का उपयोग करना एक प्रयोग है, और आपके पास इसमें भाग लेने का मौका है। वेरिलोग और एफपीजीएएस में प्रवेश की सुविधा के लिए, हम पहले माइक्रोक्रिस्किट के साथ सोल्डरलेस प्रोटोटाइपिंग बोर्डों पर एकीकरण की एक छोटी डिग्री के साथ अभ्यास में संलग्न होंगे। फिर हम FPGAs से निपटेंगे और सेमिनार के अंतिम दिन हम FPGA डिज़ाइन की तुलना माइक्रोप्रोसेसर प्रोग्रामिंग के साथ इंटरनेट की चीजों से करेंगे।
आप अंत में देखेंगे कि ट्रांजिस्टर और अरुडिनो के बीच क्या हो रहा है, उस क्षेत्र में जहां छात्र शायद ही कभी देखते हैं!

हाई स्कूल और जूनियर छात्रों के लिए कार्यक्रमसोमवार, 24 अप्रैल। हम FPGA के लिए रास्ता शुरू करते हैं: दहनशील तर्क और बाइनरी अंकगणित एकीकरण के छोटे स्तर के माइक्रोकिरिकेट्स परस्थान: बेल्का केपीआई छात्र अंतरिक्ष
- 16: 00-17: 00 अलेक्जेंडर बारबानोव, केएनयू। भौतिकी से तर्क तक।
- वर्तमान, वोल्टेज और प्रतिरोध क्या है।
- ब्रेडबोर्ड कैसे काम करता है?
- व्यायाम 1. एक बैटरी, एलईडी और रोकनेवाला के साथ पहला सर्किट। क्यों एक एलईडी के साथ एक सर्किट से एक रोकनेवाला कनेक्ट करें।
- ट्रांजिस्टर क्या हैं और उनसे तार्किक तत्व कैसे बनाए जाते हैं।
- अभ्यास 2. ट्रांजिस्टर के संचालन का अवलोकन करना।
- 17: 00-18: 00 यूरी पंचुल, इमेजिनेशन टेक्नोलॉजीज। तर्क, तार्किक तत्वों और न-नहीं की बूलियन बीजगणित।
- व्यायाम 3. प्रत्येक छात्र को CMOS 4000 श्रृंखला का एक व्यक्तिगत तर्क चिप दिया जाता है, निर्माता से एक तकनीकी विवरण के साथ, एक सत्य तालिका बनाने के कार्य के साथ, अपने काम का प्रदर्शन और मौखिक रूप से फ़ंक्शन का वर्णन करता है। भिन्न तत्वों की संख्या के साथ तर्क तत्व AND, OR, XOR, NOR, NAND।
- व्यायाम 4. हम अध्ययन करते हैं कि पुल-अप प्रतिरोध क्या हैं और उनकी आवश्यकता क्यों है। एक्सरसाइज में 3 बटन और पुल-अप रेसिस्टर्स जोड़ें।
- 18: 00-19: 00 बाइनरी अंकगणित, जोड़ और गुणा।
- व्यायाम 5. प्रत्येक छात्र को एक एड्यूसर CMOS 4008 दिया जाता है। ब्रेडबोर्ड पर योजक का प्रदर्शन।
- 19: 00-21: 00 उन लोगों के लिए अतिरिक्त अभ्यास जो 1-5 अभ्यास के साथ मुकाबला करते हैं और घर नहीं जाना चाहते हैं।
- व्यायाम 6. एक सात-खंड संकेतक और उसके चालक, एक संयोजन सर्किट के उदाहरण के रूप में। सात-खंड संकेतक में योजक के परिणाम का निष्कर्ष।
25 अप्रैल को मंगलवार है। मेमोरी जोड़ें: एकीकरण की एक छोटी डिग्री के साथ माइक्रोकिरिस्क पर अनुक्रमिक तर्क।- 16: 00-16: 30 यूरी पंचुल। अनुक्रमिक तर्क वह है जो एक कंप्यूटर को "स्मार्ट" बनाता है, यह इसे स्मृति और संचालन को दोहराने की क्षमता देता है।
- 16: 30-17: 00 केयूआई में यूरी पंचुल और प्रशिक्षक।
- डी-ट्रिगर क्या है।
- व्यायाम 7. हम डी-फ्लिप-फ्लॉप में 555 टाइमर पर आधारित घड़ी जनरेटर को कनेक्ट करते हैं और संकलित सर्किट के संचालन की जांच करते हैं।
- 17: 00-19: 00 अनुक्रमिक तर्क के अधिक जटिल तत्व।
- व्यायाम 8. शिफ्ट रजिस्टर और चलती रोशनी।
- व्यायाम 9. सात-खंड संकेतक पर एक राज्य के समापन के साथ काउंटर।
- 19: 00-21: 00 यूजीन कोरोटकी, केपीआई। उन लोगों के लिए अतिरिक्त अभ्यास जो 6-8 अभ्यास पूरा कर चुके हैं और घर नहीं जाना चाहते हैं।
- व्यायाम 10. हम 555 चिप टाइमर के आधार पर घड़ी जनरेटर की जांच करते हैं। हम देखते हैं कि प्रतिरोधों के प्रतिरोध और संधारित्र के समाई के आधार पर घड़ी की आवृत्ति की अवधि कैसे भिन्न होती है।
बुधवार 26 अप्रैल। वेरिलॉग और एफपीजीए पर संयुक्त तर्क और द्विआधारी अंकगणित।स्थान: छात्र अंतरिक्ष बेल्का केपीआई।
- 16: 00-16: 30 यूरी पंचुल, साथ ही केपीआई, केएनयू के प्रशिक्षक। वेरिलोग हार्डवेयर विवरण भाषा का त्वरित परिचय और FPGAs / FPGAs के लिए तार्किक संश्लेषण का उपयोग। हम केवल जुझारू तर्क मानते हैं।
- 16: 30-17: 00 KPI, KNU के प्रशिक्षक। वेरिलोग पर रमन तर्क।
- व्यायाम 11. FPGA पर बोर्ड Digilent CMOD A7 35T: ब्रेडबोर्डेबल आर्टिक्स -7 FPGA मॉड्यूल का उपयोग करके व्यायाम 2 (तार्किक तत्वों) को दोहराएं।
- व्यायाम 12. हम अपने नाम और उपनाम का पहला अक्षर सात-खंड सूचक पर प्रदर्शित करते हैं, बटन का उपयोग करके उनके बीच स्विच करते हैं।
- व्यायाम 13. FPGA पर व्यायाम 3 (योजक) दोहराएं। परिणाम सात-खंड संकेतक पर प्रदर्शित होता है।
- 19: 00-21: 00। उन लोगों के लिए अतिरिक्त अभ्यास, जिन्होंने 9-11 अभ्यास में महारत हासिल की है और घर नहीं जाना चाहते हैं।
- व्यायाम 14. मॉड्यूल का पदानुक्रम। सबमॉड्यूल के साथ मल्टीप्लेक्स का निर्माण।
- व्यायाम 15. FPGA के बिना वेरिलॉग कोड मॉडलिंग। हम वेरिलोग में वर्णित सर्किट के संचालन की जांच के लिए एक वातावरण बनाते हैं। हम समय के चित्र को देखने के लिए मॉडलिंग और GTKWave कार्यक्रम के लिए इकारस वेरिलॉग सिम्युलेटर का उपयोग करते हैं।
वेरिलॉग हार्डवेयर विवरण भाषा में उदाहरण कोड, जो एक सर्किट में अनुवादित (संश्लेषित) है:
module counter ( input clock, input reset_n, output reg [31:0] count ); always @(posedge clock or negedge reset_n) begin if (! reset_n) count <= 32'b0; else count <= count + 32'b1; end endmodule //---------------------------------------------------------------------------- module seven_segment_display_driver ( input [3:0] number, output reg [6:0] abcdefg ); // abcdefg dp // 7 6 4 2 1 9 10 5 7- // 7 6 5 4 3 2 1 pio // --a-- // | | // fb // | | // --g-- // | | // ec // | | // --d-- always @* case (number) 4'h0: abcdefg = 7'b1111110; 4'h1: abcdefg = 7'b0110000; 4'h2: abcdefg = 7'b1101101; 4'h3: abcdefg = 7'b1111001; 4'h4: abcdefg = 7'b0110011; 4'h5: abcdefg = 7'b1011011; 4'h6: abcdefg = 7'b1011111; 4'h7: abcdefg = 7'b1110000; 4'h8: abcdefg = 7'b1111111; 4'h9: abcdefg = 7'b1111011; 4'ha: abcdefg = 7'b1110111; 4'hb: abcdefg = 7'b0011111; 4'hc: abcdefg = 7'b1001110; 4'hd: abcdefg = 7'b0111101; 4'he: abcdefg = 7'b1001111; 4'hf: abcdefg = 7'b1000111; endcase endmodule //---------------------------------------------------------------------------- module top ( input CLK, // 12 MHz inout [48:1] pio // GPIO, General-Purpose Input/Output ); wire reset_n = ! pio [8]; wire [31:0] count; counter counter_i ( .clock ( CLK ), .reset_n ( reset_n ), .count ( count ) ); seven_segment_display_driver display_driver_i ( .number ( count [26:23] ), .abcdefg ( pio [ 7: 1] ) ); endmodule
गुरुवार 27 अप्रैल। वेरिलॉग और एफपीजीए पर अनुक्रमिक तर्क और राज्य मशीनें।स्थान: छात्र अंतरिक्ष बेल्का केपीआई।
- 16: 00-17: 00। यूरी पंचुल। वेरिलॉग पर अनुक्रमिक तर्क, राज्य मशीनें, आरटीएल कार्यप्रणाली (रजिस्टर ट्रांसमिशन स्तर) की अवधारणा, सिग्नल प्रसार में देरी और डिजिटल सर्किट के संश्लेषण पर प्रतिबंध, एक डिजिटल सर्किट की अधिकतम संभव घड़ी आवृत्ति का निर्धारण।
- 17: 00-19: 00। KPI, KNU के प्रशिक्षक। वेरिलॉग पर अनुक्रमिक तर्क।
- व्यायाम 16. सात-खंड सूचक पर एक राज्य के समापन के साथ काउंटर। FPGA पर व्यायाम 9 को लागू करना।
- व्यायाम 17. रजिस्टर और चलती रोशनी। FPGA पर व्यायाम 8 को लागू करना।
- व्यायाम 18. विभिन्न आवृत्तियों और उनके ओवरले के ध्वनि टन की पीढ़ी।
- 19: 00-21: 00। उन लोगों के लिए अतिरिक्त अभ्यास जो 17-18 अभ्यासों के साथ मुकाबला करते हैं और काम करना चाहते हैं।
- व्यायाम 19. "एक घोंघा मुस्कुराता है": एक परिमित राज्य मशीन का एक उदाहरण।
- व्यायाम 20. एक अंकगणित कन्वेयर का निर्माण। हम अनुक्रमिक सर्किट स्थापित करने के लिए इकारस वेरिलॉग सिम्युलेटर का उपयोग करते हैं।
शुक्रवार 28 अप्रैल। एम्बेडेड माइक्रोप्रोसेसर प्रोग्रामिंग के साथ सर्किट डिजाइन की तुलना।स्थान: छात्र अंतरिक्ष बेल्का केपीआई।
- 16: 00-16: 30. यूरी पंचुल। कैसे प्रोग्रामिंग सर्किटरी से अलग है। वॉन न्यूमैन मशीन इलेक्ट्रॉनिक सर्किटरी का एक विशेष मामला है। वॉन न्यूमैन मशीन के लिए निर्देशों की एक श्रृंखला की तरह कार्यक्रम। मीडियाटेक MT7688 और माइक्रोचिप PIC32MZ में प्रयुक्त माइक्रोप्रोसेसर कोर का अवलोकन।
- 16: 30-19: 00 यूजीन कोरोटकी। उदाहरण: मीडियाटेक MT7688 द्वारा संचालित लिंकइट स्मार्ट 7688 इंटरनेट ऑफ थिंग्स प्लेटफॉर्म।
- व्यायाम 21. पायथन प्रोग्राम का उपयोग करके एक एलईडी फ्लैश करता है।
- व्यायाम 22. एसपीआई प्रोटोकॉल का उपयोग कर Digilent PMOD ALS एम्बिएंट लाइट सेंसर से डेटा पढ़ता है।
- व्यायाम 23. इंटरप्ट की अवधारणा का परिचय।
- 19: 00-21: 00। उन लोगों के लिए अतिरिक्त अभ्यास जिन्होंने लिंक्डइन स्मार्ट 7688 पर अभ्यास में महारत हासिल की है और काम करना जारी रखना चाहते हैं। उदाहरण: माइक्रोचिप PIC32 माइक्रोकंट्रोलर।
- व्यायाम 24. C प्रोग्राम का उपयोग करके एक एलईडी को ब्लिंक करता है।
- व्यायाम 25. SPI प्रोटोकॉल का उपयोग करके Digilent PMOD ALS लाइट सेंसर से डेटा पढ़ता है।
- व्यायाम 26. इंटरप्रिट्स का उपयोग करके मुख्य कार्यक्रम को अनलोड करना। टाइमर से रुकावट एक एसपीआई लेनदेन को ट्रिगर करती है, एसपीआई से रुकावट एक पैकेट की प्राप्ति का संकेत देती है।
शनिवार 29 अप्रैल। आयोजित हैकथॉनजगह: राष्ट्रीय विश्वविद्यालय "कीव-मोहिला अकादमी"
- एकीकरण की छोटी सी डिग्री के माइक्रोकिरिट्स के साथ परियोजनाएं: "मिट्टी की नमी डिटेक्टर", "पुलिस मोहिनी"
- FPGAs के साथ परियोजनाएं: सेंसर के लिए इंटरफेस, "कोड लॉक" प्रकार की राज्य मशीनें
- इंटरनेट ऑफ थिंग्स के लिए माइक्रोकंट्रोलर और एम्बेडेड माइक्रोप्रोसेसरों के साथ परियोजनाएं: सेंसर और एक्ट्यूएटर्स के साथ कनेक्शन
प्राथमिक विद्यालय के छात्रों के लिए कार्यक्रमअप्रैल गहन "इलेक्ट्रॉनिक्स का परिचय"सोमवार, 24 अप्रैल। मूल बातें के साथ परिचित: ओम का नियम, निष्क्रिय इलेक्ट्रॉनिक तत्व (प्रतिरोध और कैपेसिटर)
स्थान: रेडिओमग यूक्रेन एलएलसी का कार्यालय, चोकोलोव्स्की बुलेवार्ड, 42-ए
समय: 17: 00-19: 00
व्याख्याता: सर्गेई चेनाश
- वर्तमान, वोल्टेज और प्रतिरोध क्या है, ओम का नियम
- ब्रेडबोर्ड कैसा है
- मल्टीमीटर के साथ कैसे काम करें
- प्रतिरोध और उनके आवेदन
- एक इलेक्ट्रिक चार्ज स्टोरेज डिवाइस और उसके अनुप्रयोग के रूप में संधारित्र
25 अप्रैल को मंगलवार है। सक्रिय इलेक्ट्रॉनिक घटक: संरचना और डायोड और ट्रांजिस्टर के संचालन के सिद्धांत
स्थान: रेडिओमग यूक्रेन एलएलसी का कार्यालय, चोकोलोव्स्की बुलेवार्ड, 42-ए
समय: 17: 00-19: 00
व्याख्याता: सर्गेई चेनाश
- अर्धचालक के लक्षण, पीएन जंक्शन की संरचना
- डायोड और उनका वर्गीकरण कैसे काम करता है
- ट्रांजिस्टर और उनके आवेदन के संचालन के सिद्धांत
गुरुवार 27 अप्रैल। ऑप्टोइलेक्ट्रॉनिक्स के तत्वों का अध्ययन: एल ई डी, फोटोरेसिस्टर्स, फोटोट्रांसिस्टर्स, ऑप्टोकॉपर
स्थान: रेडिओमग यूक्रेन एलएलसी का कार्यालय, चोकोलोव्स्की बुलेवार्ड, 42-ए
समय: 17: 00-19: 00
व्याख्याता: सर्गेई चेनाश
- ऑप्टोइलेक्ट्रॉनिक्स के तत्व, संचालन और अनुप्रयोग के सिद्धांत
शुक्रवार 28 अप्रैल। पेश है NE555 चिप
स्थान: रेडिओमग यूक्रेन एलएलसी का कार्यालय, चोकोलोव्स्की बुलेवार्ड, 42-ए
समय: 17: 00-19: 00
व्याख्याता: सर्गेई चेनाश
- माइक्रिकोइक्रिट और उनके वर्गीकरण क्या हैं
- इलेक्ट्रॉनिक्स में सिग्नल के प्रकार
- एनई 555 चिप के साथ परिचित, एक जनरेटर का निर्माण
- एक आस्टसीलस्कप के साथ काम करना
शनिवार 29 अप्रैल। बोनस सोल्डरिंग
स्थान: रेडिओमग यूक्रेन एलएलसी का कार्यालय, चोकोलोव्स्की बुलेवार्ड, 42-ए
समय: 11: 00-12: 30 बजे

सेमिनारों में मिलते हैं!
- इलेक्ट्रॉनिक्स लैम्पा और स्टूडेंट स्पेस बेल्का की ओपन लेबोरेटरी, नेशनल टेक्निकल यूनिवर्सिटी ऑफ़ यूक्रेन "इगोर पॉलिटेक्निक इंस्टीट्यूट जिसका नाम इगोर सिकिक्स्की" है
- कीव राष्ट्रीय तारास शेवचेंको विश्वविद्यालय
- नेशनल यूनिवर्सिटी "कीव-मोहिला अकादमी"
- यूक्रेन की लघु अकादमी
- LLC "यूक्रेन का रेडिओमाग"
- कल्पना तकनीक
सेमिनार का मुख्य आधिकारिक पेज,
वहां पंजीकरण ।