рдЙрдиреНрд╣реЛрдВрдиреЗ рдЙрдк-рд░рд╛рд╖реНрдЯреНрд░рдкрддрд┐ рдЕрд░реНрдбреБрдЗрдиреЛ рд╕реЗ рдПрдХ рд╡реАрдбрд┐рдпреЛ рд╕рд╛рдХреНрд╖рд╛рддреНрдХрд╛рд░ рд▓рд┐рдпрд╛ рдФрд░ рдЙрдирдХреЗ рд╢рд┐рдХреНрд╖рдг рд╕реНрдХреВрд▓реА рдмрдЪреНрдЪреЛрдВ рдХреЗ FPGA / FPGA рдФрд░ рд╡реЗрд░рд┐рд▓реЛрдЧ рдХреЗ рд╕рд╛рде рдЪрд░реНрдЪрд╛ рдХреА

рджреВрд╕рд░реЗ рджрд┐рди рдореИрдВ рдорд┐рд▓реЗ рдФрд░ рдХреИрдереА рдЬрд┐рдпреЛрд░реА, рд╡рд╛рдЗрд╕ рдкреНрд░реЗрд╕реАрдбреЗрдВрдЯ рдСрдкрд░реЗрд╢рдВрд╕ рдЕрд░рдбреВрдЗрдиреЛ рдпреВрдПрд╕рдП рд╕реЗ рдПрдХ рд▓рдШреБ рд╡реАрдбрд┐рдпреЛ рд╕рд╛рдХреНрд╖рд╛рддреНрдХрд╛рд░ рд▓рд┐рдпрд╛ред рд╣рдордиреЗ рдХреЗрдЯреА рдХреЗ рд╕рд╛рде рдПрдХ рдирдП рд░реБрдЭрд╛рди рдкрд░ рдЪрд░реНрдЪрд╛ рдХреА, рдЬреЛ рдкрд╣рд▓реЗ рд╕реЗ рд╣реА Arduino рд╕рдореБрджрд╛рдп рдХреЛ рдЫреВ рдЪреБрдХрд╛ рд╣реИ: рдЪреВрдВрдХрд┐ Arduino рдХреА рдорджрдж рд╕реЗ рдорд╛рдЗрдХреНрд░реЛрдХрдВрдЯреНрд░реЛрд▓рд░ рдХреА рдкреНрд░реЛрдЧреНрд░рд╛рдорд┐рдВрдЧ рдкрд╣рд▓реЗ рд╕реЗ рд╣реА рдХрдо рдпрд╛ рдЬреНрдпрд╛рджрд╛ рд╕реАрдЦреА рдЧрдИ рд╣реИ, рдпрд╣ рдЕрдЧрд▓рд╛ рдХрджрдо рдЙрдард╛рдиреЗ рдХрд╛ рд╕рдордп рд╣реИ: рд╕реНрдХреВрд▓реА рдмрдЪреНрдЪреЛрдВ рдХреЛ Verilog рдЙрдкрдХрд░рдг рдФрд░ FPGA / FPGA рдорд╛рдЗрдХреНрд░реЛрдХреНрд░реЗрд╕реАрдкреЗрдЯреНрд╕, рд▓реЙрдЬрд┐рдХ рдореИрдЯреНрд░рд┐рд╕реЗрд╕ рдХрд╛ рд╡рд░реНрдгрди рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рднрд╛рд╖рд╛ рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░рдирд╛ рд╕рд┐рдЦрд╛рдПрдВред рдЪрд░ рдХрд╛рд░реНрдпреЛрдВ рдХреЗ рд╕рд╛рде рддрддреНрд╡ред рдФрд░ FPGA рдХреЛ рдЖрд╕рд╛рди рдмрдирд╛рдиреЗ рдХреЗ рд▓рд┐рдП, рдпрд╣ 1970 рдХреЗ рджрд╢рдХ рдореЗрдВ рд▓реЛрдХрдкреНрд░рд┐рдп FPGAs рдХреЗ рдкреНрд░реАрдХреНрд╡рд▓ рдХреЗ рд░реВрдк рдореЗрдВ рд▓реЛрдХрдкреНрд░рд┐рдп рдЗрдВрдЯреАрдЧреНрд░реЗрд╢рди рдЪрд┐рдкреНрд╕ рдХреА рдЫреЛрдЯреА рдбрд┐рдЧреНрд░реА рдХреЗ рд╕рд╛рде рдлрд┐рд░ рд╕реЗ рдЬреАрд╡рд┐рдд рд╣реЛрдиреЗ рд▓рд╛рдпрдХ рдЕрднреНрдпрд╛рд╕ рд╣реИред рдпрд╣ рд╕рдм рднреМрддрд┐рдХреА рдФрд░ рдкреНрд░реЛрдЧреНрд░рд╛рдорд┐рдВрдЧ, рдЕрд╕рддрдд рддрддреНрд╡реЛрдВ рдФрд░ рдорд╛рдЗрдХреНрд░реЛрдХрдВрдЯреНрд░реЛрд▓рд░реНрд╕, рдПрдХ рдЯреНрд░рд╛рдВрдЬрд┐рд╕реНрдЯрд░ рдФрд░ рдПрдХ Arduino рдХреЗ рдмреАрдЪ рдХреА рдЦрд╛рдИ рдХреЛ рдмрдВрдж рдХрд░ рджреЗрдЧрд╛ред

FPGA рдФрд░ рдмреЛрд░реНрдбреЛрдВ рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд┐рд╕реА рднреА рдирд┐рд░реНрдорд╛рддрд╛ (Xilinx, Altera, Lattice, Digilent, Terasic) рд╕реЗ рдХрд┐рдпрд╛ рдЬрд╛ рд╕рдХрддрд╛ рд╣реИ, рдЬреЛ рдореИрдВ рдиреАрдЪреЗ рд▓рд┐рдЦрддрд╛ рд╣реВрдВ рд╡рд╣ рдХрд┐рд╕реА рд╡рд┐рд╢реЗрд╖ рдХрдВрдкрдиреА рд╕реЗ рдмрдВрдзрд╛ рдирд╣реАрдВ рд╣реИред

рд╕реНрдЯреИрдирдлреЛрд░реНрдб рдХреЗ рдПрдХ рд╢рд┐рдХреНрд╖рдХ рд╕реНрд╡реЗрддрд▓рд╛рдирд╛ рдЦреБрддреНрдХрд╛ рдиреЗ рдХрдЯреНрдпрд╛ рдХреЗ рд╕рд╛рде рдмрд╛рддрдЪреАрдд рдореЗрдВ рд╣рд┐рд╕реНрд╕рд╛ рд▓рд┐рдпрд╛, рдЬрд┐рдиреНрд╣реЛрдВрдиреЗ рдХреИрдЯреА рдХреЛ рдХреАрд╡ рдореЗрдВ рдПрдлрдкреАрдЬреАрдП рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рдореБрдлреНрдд рд╢рд┐рдХреНрд╖рдг рд╕реНрдХреВрд▓реА рдмрдЪреНрдЪреЛрдВ рдкрд░ рдкреНрд░рдпреЛрдЧ рдХреЗ рдмрд╛рд░реЗ рдореЗрдВ рдмрддрд╛рдпрд╛, рдХрдИ рдХреАрд╡ рд╡рд┐рд╢реНрд╡рд╡рд┐рджреНрдпрд╛рд▓рдпреЛрдВ рдХреЗ рдЙрддреНрд╕рд╛рд╣реА рд╢рд┐рдХреНрд╖рдХреЛрдВ рдХреА рдорджрдж рд╕реЗред рдЙрд╕рдХреЗ рдмрд╛рдж, рдореИрдВрдиреЗ рд╕реЛрд╡рд┐рдпрдд рдХрд╛рд▓ рдХреЗ рдмрд╛рдж рд╕реЗ рдиреЛрд╡реЛрд╕рд┐рдмрд┐рд░реНрд╕реНрдХ рдореЗрдВ рдпреБрд╡рд╛ рдкреНрд░реЛрдЧреНрд░рд╛рдорд░ рдХреЗ рдЧреНрд░реАрд╖реНрдордХрд╛рд▓реАрди рд╕реНрдХреВрд▓ рдореЗрдВ рдЗрд╕ рддрд░рд╣ рдХреЗ рдкреНрд░рдпреЛрдЧ рдХреЗ рд▓рд┐рдП рдХреЗрдЯреА рдпреЛрдЬрдирд╛рдУрдВ рдХреЗ рд╕рд╛рде рд╕рд╛рдЭрд╛ рдХрд┐рдпрд╛, рдФрд░ рджреЛ рд╕реНрдХреВрд▓реЛрдВ рдФрд░ рдПрдХ рд╕рд┐рд▓рд┐рдХреЙрди рд╡реИрд▓реА рдХреЙрд▓реЗрдЬ рдореЗрдВ рдПрдлрдкреАрдЬреАрдП рдХреЗ рдХрд╛рд░реНрдпрд╛рдиреНрд╡рдпрди рдХреЗ рдмрд╛рд░реЗ рдореЗрдВ рдЙрдкрд╕реНрдерд┐рдд рдЕрд░реБрдбрд┐рдиреЛ рд╕рдореБрджрд╛рдп рдХреЗ рдЕрдиреНрдп рд╕рд╛рдерд┐рдпреЛрдВ рдХреЗ рд╕рд╛рде рднреА рдмрд╛рдд рдХреАред



FPGA рдХреЗ рд╕рд╛рде рдорджрд░рдмреЛрд░реНрдб, рдЬрд┐рд╕реЗ рдХрдЯреНрдпрд╛ рдЕрдм рд╕реБрдЭрд╛рддреА рд╣реИ, рдФрд░ рдЬрд┐рд╕реЗ рдореИрдВ рдЕрдкрдиреА рдЖрд╡рд╢реНрдпрдХрддрд╛рдУрдВ рдХреЗ рдЕрдиреБрдХреВрд▓ рдмрдирд╛рдиреЗ рдХреЗ рд▓рд┐рдП рдХреБрдЫ рд╣рдж рддрдХ рдлрд┐рд░ рд╕реЗ рддреИрдпрд╛рд░ рдХрд░ рд░рд╣рд╛ рд╣реВрдВ (рдЗрд╕ рдмрд╛рд░реЗ рдореЗрдВ рдПрдХ рдЕрд▓рдЧ рдкреЛрд╕реНрдЯ рд╣реЛрдЧреА):



рдиреАрдЪреЗ рдореИрдВ рдХреАрд╡ рдкреНрд░рдпреЛрдЧ рдХреЗ рдкрд░рд┐рдгрд╛рдореЛрдВ рдХреЗ рд╕рд╛рде-рд╕рд╛рде рднрд╡рд┐рд╖реНрдп рдХреА рдХреБрдЫ рдпреЛрдЬрдирд╛рдУрдВ рдХреЗ рдмрд╛рд░реЗ рдореЗрдВ рдЬрд╛рдирдХрд╛рд░реА рджреВрдВрдЧрд╛ рдЬреЛ рдХрд┐ рдХрд╛рд░реНрдпрдХрд░реНрддрд╛рдУрдВ рджреНрд╡рд╛рд░рд╛ рд╡рд┐рдХрд╕рд┐рдд рдХреА рдЬрд╛ рд░рд╣реА рд╣реИрдВ - рд╢рд╛рд░реАрд░рд┐рдХ рд╢рд┐рдХреНрд╖рд╛ рд╕реНрдХреВрд▓реЛрдВ рдФрд░ рд╡рд┐рд╢реНрд╡рд╡рд┐рджреНрдпрд╛рд▓рдпреЛрдВ рдХреЗ рд╢рд┐рдХреНрд╖рдХреЛрдВ рдХреЛ рдХреАрд╡, рдЪреЗрд░реНрдирд┐рдЧреЛрд╡, рдиреЛрд╡реЛрд╕рд┐рдмрд┐рд░реНрд╕реНрдХ, рдореЙрд╕реНрдХреЛ, рдирд┐рдЬрд╝рдиреА рдиреЛрд╡рдЧреЛрд░реЛрдб, рд╕рдорд╛рд░рд╛, рд╕реЗрдВрдЯ рдкреАрдЯрд░реНрд╕рдмрд░реНрдЧ, рдЕрд▓реНрдорд╛рдЯреА рдФрд░ рдЕрдиреНрдп рд╢рд╣рд░реЛрдВ рдореЗрдВред рдХреАрд╡ рд╕рдВрдЧреЛрд╖реНрдареА рдореЗрдВ, рдкреНрд░рддрд┐рднрд╛рдЧрд┐рдпреЛрдВ рдиреЗ рдПрдХ рдХреЛрдб рд▓реЙрдХ рдФрд░ рд╕рд░рд▓ рдмрд╛рд╣реНрдп рдЙрдкрдХрд░рдгреЛрдВ (16-рдмрдЯрди рдХреАрдмреЛрд░реНрдб, рд╕реНрдкреАрдХрд░) рдХреЗ рд╕рд╛рде рдПрдХреАрдХрд░рдг рдХреЗ рд▓рд┐рдП рд░рд╛рдЬреНрдп рдорд╢реАрди рдмрдирд╛рдиреЗ рдХреЗ рдЪрд░рдг рдореЗрдВ рдкрд╣реБрдВрдЪ рдЧрдПред рдиреЛрд╡реЛрд╕рд┐рдмрд┐рд░реНрд╕реНрдХ рдореЗрдВ рдЧреНрд░реАрд╖реНрдордХрд╛рд▓реАрди рд╕реНрдХреВрд▓ рдореЗрдВ, рд╣рдорд╛рд░реЗ рдкрд╛рд╕ рдЕрдзрд┐рдХ рд╕рдордп рд╣реЛрдЧрд╛ рдФрд░ рд╣рдо рдЫрд╛рддреНрд░реЛрдВ рдХреЗ рд╕рд╛рде рдПрдХ рдкреНрд░реЛрд╕реЗрд╕рд░ рдмрдирд╛рдиреЗ рдХреА рдХреЛрд╢рд┐рд╢ рдХрд░реЗрдВрдЧреЗред рднрд╡рд┐рд╖реНрдп рдореЗрдВ, рднреМрддрд┐рдХ рд╡рд┐рджреНрдпрд╛рд▓рдпреЛрдВ рдХреЗ рд▓рд┐рдП FPGA рдкрд░ рдбрд┐рдЬрд┐рдЯрд▓ рдЗрд▓реЗрдХреНрдЯреНрд░реЙрдирд┐рдХреНрд╕ рдХреА рдореВрд▓ рдмрд╛рддреЗрдВ рдкрд░ рдПрдХ рд╡рд╛рд░реНрд╖рд┐рдХ рдкрд╛рдареНрдпрдХреНрд░рдо рдмрдирд╛рдиреЗ рдХреА рдпреЛрдЬрдирд╛ рд╣реИ, рдЬреЛ рд╕реНрдХреВрд▓реА рд╢рд┐рдХреНрд╖рд╛ рдХреЛ рд╕рдореГрджреНрдз рдХрд░ рд╕рдХрддрд╛ рд╣реИ, рдХреНрдпреЛрдВрдХрд┐ 1980 рдХреЗ рджрд╢рдХ рдореЗрдВ рдЗрд╕реЗ рдкреНрд░реЛрдЧреНрд░рд╛рдорд┐рдВрдЧ рддрддреНрд╡реЛрдВ рдХреА рд╢реБрд░реБрдЖрдд рд╕реЗ рд╕рдореГрджреНрдз рдХрд┐рдпрд╛ рдЧрдпрд╛ рдерд╛ред

рд╣рдо рдЗрд╕ рдкрд░ рд╡рд┐рд╕реНрддрд╛рд░ рд╕реЗ рдЪрд░реНрдЪрд╛ рдХрд░реЗрдВрдЧреЗ:

1. рд╕реНрдХреВрд▓реА рдмрдЪреНрдЪреЛрдВ рдХреЛ рд╣рд╛рд░реНрдбрд╡реЗрдпрд░ рдХрд╛ рд╡рд░реНрдгрди рдХреИрд╕реЗ рдХрд░реЗрдВ рдФрд░ FPGAs рдХрд╛ рдЙрдкрдпреЛрдЧ рдХреИрд╕реЗ рдХрд░реЗрдВ? рдХрд╛рд░реНрдпрдХреНрд░рдо рдХрд╛ рдФрдЪрд┐рддреНрдпред

рд╕реНрдХреВрд▓реА рд╢рд┐рдХреНрд╖рд╛ рджреНрд╡рд╛рд░рд╛ рдкреНрд░рд╕реНрддреБрдд рджреБрдирд┐рдпрд╛ рдХреА рддрд╕реНрд╡реАрд░ рдореЗрдВ, рднреМрддрд┐рдХреА рдФрд░ рдкреНрд░реЛрдЧреНрд░рд╛рдорд┐рдВрдЧ рдХреЗ рдмреАрдЪ, рдбрд┐рдЬрд┐рдЯрд▓ рдЗрд▓реЗрдХреНрдЯреНрд░реЙрдирд┐рдХреНрд╕ рдХреЛ рдбрд┐рдЬрд╛рдЗрди рдХрд░рдиреЗ рдХреЗ рд╕рд┐рджреНрдзрд╛рдВрддреЛрдВ рдХреЗ рдХреНрд╖реЗрддреНрд░ рдореЗрдВ рдПрдХ "рдЕрдВрдзрд╛ рд╕реНрдерд╛рди" рд╣реИред рд░реЛрдмреЛрдЯрд┐рдХреНрд╕ рдФрд░ рдЖрд░реНрдбрд┐рдиреЛ рдХреЗ рдкрд╛рдареНрдпрдХреНрд░рдо рдЗрд╕ рдЕрдВрдзреЗ рд╕реНрдерд╛рди рдХреЛ рдмрдВрдж рдирд╣реАрдВ рдХрд░рддреЗ рд╣реИрдВ, рдХреНрдпреЛрдВрдХрд┐ рд╡реЗ рддреИрдпрд╛рд░ рдЪрд┐рдкреНрд╕ рдХреА рдкреНрд░реЛрдЧреНрд░рд╛рдорд┐рдВрдЧ рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рдиреАрдЪреЗ рдЖрддреЗ рд╣реИрдВред рдПрдХреАрдХрд░рдг рдХреА рдПрдХ рдЫреЛрдЯреА рдбрд┐рдЧреНрд░реА рдХреЗ рдЕрд╕рддрдд рддрддреНрд╡реЛрдВ рдФрд░ рдорд╛рдЗрдХреНрд░реЛрдХреНрд░рд┐рд╕реНрдХреЗрдЯреНрд╕ рдХреЗ рд╕рд╛рде рдЕрднреНрдпрд╛рд╕, рд╣рд╛рд▓рд╛рдВрдХрд┐ рдореВрд▓ рд╕рд┐рджреНрдзрд╛рдВрддреЛрдВ рдХреЛ рдкреЗрд╢ рдХрд░рдиреЗ рдореЗрдВ рдкреНрд░рднрд╛рд╡реА рд╣реИ, 1960-1970 рдХреЗ рджрд╢рдХ рдХреА рдкреНрд░реМрджреНрдпреЛрдЧрд┐рдХрд┐рдпреЛрдВ рдкрд░ рдЖрдзрд╛рд░рд┐рдд рд╣реИрдВ рдФрд░ рдЗрд╕рдореЗрдВ рдЖрдзреБрдирд┐рдХ рдбрд┐рдЬрд╛рдЗрди рдХрд╛ рдХреЛрдИ рд▓рд┐рдВрдХ рд╢рд╛рдорд┐рд▓ рдирд╣реАрдВ рд╣реИред рд╕реНрдХреВрд▓ рдкреНрд░рдпреЛрдЧ рдХреЗ рд▓рд┐рдП рдЙрдкрд▓рдмреНрдз рд╣рд╛рд░реНрдбрд╡реЗрдпрд░ рдбрд┐рд╕реНрдХреНрд░рд┐рдкреНрд╢рди рд▓реИрдВрдЧреНрд╡реЗрдЬ (рдПрдирдПрд▓рдП) рдФрд░ рдПрдлрдкреАрдЬреАрдП (рдкреНрд░реЛрдЧреНрд░рд╛рдореЗрдмрд▓ рд▓реЙрдЬрд┐рдХ рдЗрдВрдЯреАрдЧреНрд░реЗрдЯреЗрдб рд╕рд░реНрдХрд┐рдЯ) рдЪрд┐рдкреНрд╕ рдХреЗ рддрддреНрд╡реЛрдВ рдХреЛ рдкреНрд░рд╕реНрддреБрдд рдХрд░рдХреЗ рдПрдХ рдмреНрд▓рд╛рдЗрдВрдб рд╕реНрдкреЙрдЯ рдХреЛ рдмрдВрдж рдХрд┐рдпрд╛ рдЬрд╛ рд╕рдХрддрд╛ рд╣реИ - рдкреБрди: рдЙрдкрдпреЛрдЧ рдХрд░рдиреЗ рдпреЛрдЧреНрдп рд▓реЙрдЬрд┐рдХ рддрддреНрд╡реЛрдВ рдХреЗ рдореИрдЯреНрд░рд┐рдХреНрд╕ред рдЗрд╕ рдкреНрд░рдХрд╛рд░, рджреБрдирд┐рдпрд╛ рдХреА рддрд╕реНрд╡реАрд░ рдЕрднрд┐рдиреНрди рд╣реЛ рдЬрд╛рддреА рд╣реИ, рдФрд░ рдмрдбрд╝реА рд╕рдВрдЦреНрдпрд╛ рдореЗрдВ рдпреБрд╡рд╛ рдЗрдВрдЬреАрдирд┐рдпрд░реЛрдВ рдХреЗ рдЙрджреНрднрд╡ рдХреЗ рд▓рд┐рдП рдПрдХ рдкрд░реНрдпрд╛рд╡рд░рдг рдХреЗ рдирд┐рд░реНрдорд╛рдг рдореЗрдВ рдпреЛрдЧрджрд╛рди рджреЗрддрд╛ рд╣реИ, рдЬрд┐рдирдХреЗ рдкрд╛рд╕ рд╕реНрд╡рдпрдВ рдбреНрд░рд╛рдЗрд╡рд┐рдВрдЧ рдХрд╛рд░реЛрдВ рдЬреИрд╕реЗ рдЕрдиреБрдкреНрд░рдпреЛрдЧреЛрдВ рдХреЗ рд▓рд┐рдП рдЖрдзреБрдирд┐рдХ рдорд╛рдЗрдХреНрд░реЛрдХрд┐рд░рд┐рдЪрд┐рдЯ рдХреЗ рд╕рднреА рдкрд╣рд▓реБрдУрдВ рдХрд╛ рд╡рд┐рдЪрд╛рд░ рд╣реИ, рдФрд░ рднрд╡рд┐рд╖реНрдп рдореЗрдВ рдРрд╕реЗ рдЙрдкрдХрд░рдгреЛрдВ рдХреЗ рдПрдХ рдпрд╛ рдХрд┐рд╕реА рдЕрдиреНрдп рдкрд╣рд▓реВ рдХреЗ рдбрд┐рдЬрд╛рдЗрди рдХреЗ рд▓рд┐рдП рд╡рд┐рд╢реЗрд╖рдЬреНрдЮ рд╣реИрдВред

рд╕реНрдХреВрд▓ рдХреЗ рдкрд╛рдареНрдпрдХреНрд░рдо рдореЗрдВ рдПрдирдПрд▓рдП рдФрд░ рдПрдлрдкреАрдЬреАрдП рдХреА рд╢реБрд░реВрдЖрдд рднреА рдПрдХ рднреМрддрд┐рдХреА рдФрд░ рдЧрдгрд┐рдд рд╕реНрдХреВрд▓ рдореЗрдВ рдЧрдгрд┐рдд рдФрд░ рднреМрддрд┐рдХреА рдХреЗ рдкрд╛рдареНрдпрдХреНрд░рдо рд╕реЗ рдЕрдЪреНрдЫреА рддрд░рд╣ рд╕реЗ рдЬреБрдбрд╝реА рд╣реБрдИ рд╣реИ - рдмреВрд▓рд┐рдпрди рдмреАрдЬрдЧрдгрд┐рдд, рдЕрдВрдХрдЧрдгрд┐рддреАрдп рдпреЛрдЬрдирд╛рдПрдВ, рдкрд░рд┐рдорд┐рдд рд░рд╛рдЬреНрдп рдорд╢реАрдиреЗрдВред

рдзреНрдпрд╛рди рджреЗрдВ рдХрд┐ рдкреНрд░реЛрдЧреНрд░рд╛рдорд┐рдВрдЧ рдХреЗ рд▓рд┐рдП рд╕рддрд╣реА рд╕рдорд╛рдирддрд╛ рдХреЗ рдмрд╛рд╡рдЬреВрдж рдПрдирдПрд▓рдП рдФрд░ рдПрдлрдкреАрдЬреАрдПрдПрд╕ рдЕрдиреНрдп рдмреБрдирд┐рдпрд╛рджреА рдЕрд╡рдзрд╛рд░рдгрд╛рдУрдВ рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░рддреЗ рд╣реИрдВ:

рдкреНрд░реЛрдЧреНрд░рд╛рдорд┐рдВрдЧ: рдЕрдиреБрдХреНрд░рдорд┐рдХ рдирд┐рд╖реНрдкрд╛рджрди, рдЪрдпрди рд╢рд╛рдЦрд╛рдПрдВ, рдЫреЛрд░реЛрдВ, рдЪрд░, рдЕрднрд┐рд╡реНрдпрдХреНрддрд┐, рд╕рд░рдгрд┐рдпреЛрдВ (рдлреНрд▓реИрдЯ рдкрддрд╛ рдпреЛрдЧреНрдп рдореЗрдореЛрд░реА рдХреЗ рдореЙрдбрд▓ рдХреЗ рд╕рд╛рде), рдлрд╝рдВрдХреНрд╢рди (рд╕реНрдЯреИрдХ рдХреЗ рдЙрдкрдпреЛрдЧ рдХреЗ рдЖрдзрд╛рд░ рдкрд░), рдкреБрдирд░рд╛рд╡реГрддреНрддрд┐ред

рдбрд┐рдЬрд┐рдЯрд▓ рддрд░реНрдХ рдХрд╛ рдбрд┐рдЬрд╛рдЗрди: рд╕рдВрдпреЛрдЬрди рддрд░реНрдХ рддрддреНрд╡; рдЗрди рдХреНрд▓рд╛рдЙрдб рддрддреНрд╡реЛрдВ рд╕реЗ рд╕рдВрдпреЛрдЬрди рддрд░реНрдХ рдХрд╛ рдирд┐рд░реНрдорд╛рдг рдХрд░рдирд╛, рдЬрд┐рд╕рдореЗрдВ рдорд▓реНрдЯреАрдкреНрд▓реЗрдХреНрд╕рд░реНрд╕ рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░рдиреЗ рд╡рд╛рд▓реЗ рдЪрдпрди рдЖрджрд┐рдо рд╢рд╛рдорд┐рд▓ рд╣реИрдВ, рд╕рд╛рде рд╣реА рдЕрдВрдХрдЧрдгрд┐рддреАрдп рдЕрднрд┐рд╡реНрдпрдХреНрддрд┐рдпреЛрдВ рдХреЛ рд▓рд╛рдЧреВ рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рдмреНрд▓реЙрдХ; рдЧрдгрдирд╛ рдФрд░ рдкреБрдирд░рд╛рд╡реГрддреНрддрд┐ рдХреЛ рд╕рд┐рдВрдХреНрд░рдирд╛рдЗрдЬрд╝ рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рдПрдХ рдШрдбрд╝реА рд╕рдВрдХреЗрдд рдХреА рдЕрд╡рдзрд╛рд░рдгрд╛; рдЙрдкрд╛рдпреЛрдВ рдХреЗ рдмреАрдЪ рд╡рд░реНрддрдорд╛рди рд╕реНрдерд┐рддрд┐ рдХреЛ рд╕рдВрдЧреНрд░рд╣реАрдд рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рдбреА-рдЯреНрд░рд┐рдЧрд░ рдХреА рдЕрд╡рдзрд╛рд░рдгрд╛; рд░рд╛рдЬреНрдп рдорд╢реАрди; рд╕рдорд╛рдирд╛рдВрддрд░ рд╕рдВрдЪрд╛рд▓рди, рдореЙрдбреНрдпреВрд▓ рдХреА рдкрджрд╛рдиреБрдХреНрд░рдо, рдкрд╛рдЗрдкрд▓рд╛рдЗрди рдХреА рдЕрд╡рдзрд╛рд░рдгрд╛ (рди рдХреЗрд╡рд▓ рдкреНрд░реЛрд╕реЗрд╕рд░ рдХреЗ рд▓рд┐рдП, рдмрд▓реНрдХрд┐ рдЕрдВрдХрдЧрдгрд┐рддреАрдп рдЗрдХрд╛рдЗрдпреЛрдВ рдХреЗ рд▓рд┐рдП рднреА)ред



2. рдРрд╕реЗ рдкрд╛рдареНрдпрдХреНрд░рдореЛрдВ рдХреА рд╕рд╛рдорд╛рдиреНрдп рдпреЛрдЬрдирд╛:

  • рдЦрдВрдб 1. рдЕрд╕рддрдд рдШрдЯрдХреЛрдВ рдкрд░ рдкреНрд░рдпреЛрдЧрд╢рд╛рд▓рд╛ рдХреЗ рд╕рд╛рде, рднреМрддрд┐рдХреА рдХреЗ рд╕рд╛рде рд╕рдВрдмрдВрдзред

  • рдЦрдВрдб 2. рдбрд┐рдЬрд┐рдЯрд▓ рд▓реЙрдЬрд┐рдХ рдФрд░ рдЕрдВрдХрдЧрдгрд┐рдд рдХреА рдореВрд▓ рдмрд╛рддреЗрдВ, рдПрдХ рдЫреЛрдЯреЗ рд╕реЗ рдПрдХреАрдХрд░рдг рдХреЗ рд╕рд╛рде рдкреНрд░рдпреЛрдЧрд╢рд╛рд▓рд╛ рдорд╛рдЗрдХреНрд░реЛрдХрд┐рд░рд┐рдЯреНрд╕ред

  • рдЦрдВрдб 3. рдПрдХ рд╕рд░реНрдХрд┐рдЯ рд╡рд┐рд╡рд░рдг рднрд╛рд╖рд╛ рдХреЗ рд╕рдВрд╢реНрд▓реЗрд╖рдг рдкрд░ рдЖрдзрд╛рд░рд┐рдд рд╕рд░реНрдХрд┐рдЯ рдбрд┐рдЬрд╛рдЗрдирд┐рдВрдЧ, Xilinx рдпрд╛ Altera FPGAs рдкрд░ рдкреНрд░рдпреЛрдЧрд╢рд╛рд▓рд╛ рд╡рд╛рд▓реЗред

  • рдЦрдВрдб 4. рдкреНрд░реЛрд╕реЗрд╕рд░ рдЖрд░реНрдХрд┐рдЯреЗрдХреНрдЪрд░: рдирд┐рд░реНрджреЗрд╢рд╛рдВрдХ рд╕реНрддрд░ рдкрд░ RISC рдкреНрд░реЛрд╕реЗрд╕рд░ рд╕рд┐рдореНрдпреБрд▓реЗрдЯрд░ рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░ рдкреНрд░рдпреЛрдЧрд╢рд╛рд▓рд╛ рдХреЗ рд╕рд╛рде, рдкреНрд░реЛрдЧреНрд░рд╛рдорд░ рдХреА рдУрд░ рд╕реЗ рджреЗрдЦреЗрдВ, рдЙрджрд╛рд╣рд░рдг рдХреЗ рд▓рд┐рдП MARS MIPSред

  • рдЦрдВрдб 5. рдорд╛рдЗрдХреНрд░реЛрдЖрд░реНрдХрд┐рдЯреЗрдХреНрдЪрд░: рдПрдХ рдкреНрд░реЛрд╕реЗрд╕рд░ рдХрд╛ рдирд┐рд░реНрдорд╛рдгред

  • рд╡реНрдпрдХреНрддрд┐рдЧрдд рдкрд░рд┐рдпреЛрдЬрдирд╛: FPGA рдореЗрдВ рд▓рд╛рдЧреВ рд╕рд░реНрдХрд┐рдЯ рдХреЗ рд╕рд╛рде рдПрдХ рд╕реЗрдВрд╕рд░ рдпрд╛ рдЕрдиреНрдп рдкрд░рд┐рдзреАрдп рдЙрдкрдХрд░рдг рдХрд╛ рдПрдХреАрдХрд░рдгред





3. рдХреАрд╡ рдкреНрд░рдпреЛрдЧ рдХреЗ рдкрд░рд┐рдгрд╛рдоред

рдХреАрд╡ рдкреНрд░рдпреЛрдЧ рдХреЗ рдкрд░рд┐рдгрд╛рдореЛрдВ рдХреЗ рд╕рд╛рде рдПрдХ рд╡рд┐рд╕реНрддреГрдд рдкрд╛рда рдХреАрд╡ рдкреЙрд▓реАрдЯреЗрдХреНрдирд┐рдХ рд╕рдВрд╕реНрдерд╛рди, рдпреЗрд╡рдЧреЗрдиреА рдХреЛрд░реЛрдЯреНрд╕реНрдХреА рдореЗрдВ рдПрдХ рд╢рд┐рдХреНрд╖рдХ рджреНрд╡рд╛рд░рд╛ рд▓рд┐рдЦрд╛ рдЧрдпрд╛ рдерд╛ ред рдореИрдВ рдЗрд╕реЗ рдЕрдкрдиреЗ рд╡реНрдпрдХреНрддрд┐рдЧрдд рдирд┐рд╖реНрдХрд░реНрд╖реЛрдВ рдХреЗ рд╕рд╛рде рдкреВрд░рдХ рдХрд░реВрдВрдЧрд╛ред рдкреГрд╖реНрдарднреВрдорд┐ - рд╣реИрдмреЗ рдФрд░ Geektimes рдкрд░ рдкреЛрд╕реНрдЯ - 1 , 2 , 3 , 4 )ред рдЙрди рдкреЛрд╕реНрдЯреНрд╕ рдореЗрдВ рд╕рд╡рд╛рд▓ рдкреВрдЫреЗ рдЧрдП рдереЗред рдпрд╣рд╛рдБ рдЙрддреНрддрд░ рд╣реИрдВ:

  1. рд╕реНрдХреВрд▓реА рдмрдЪреНрдЪреЗ рд╡реЗрд░рд┐рд▓реЙрдЧ рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░ рд╕рдХрддреЗ рд╣реИрдВ рдХрд┐ рдкрд░рд┐рдХрд▓реНрдкрдирд╛ рдХреА рдкреБрд╖реНрдЯрд┐ рдХреА рдЧрдИ рд╣реИ

  2. рд╡реЗрд░рд┐рд▓реЛрдЧ рднреА рд╕реНрдХреВрд▓реА рдмрдЪреНрдЪреЛрдВ рдХреА рдкрд░реНрдпрд╛рдкреНрдд рд╕рдВрдЦреНрдпрд╛ рдХреЗ рд▓рд┐рдП рджрд┐рд▓рдЪрд╕реНрдк рд╣реЛ рдЧрдП (рдХреБрдЫ рд╕рд╣рдпреЛрдЧрд┐рдпреЛрдВ рдиреЗ рдХреАрд╡ рд╕реЗ рдкрд╣рд▓реЗ рдЗрд╕ рдкрд░ рд╕рдВрджреЗрд╣ рдХрд┐рдпрд╛, рдпрд╣ рддрд░реНрдХ рджреЗрддреЗ рд╣реБрдП рдХрд┐ рдмрдЪреНрдЪреЗ рдПрдХ рдорд╛рдЗрдХреНрд░реЛрдХрдВрдЯреНрд░реЛрд▓рд░ рдХреЗ рд╕рд╛рде рд░реЛрдмреЛрдЯ рдмрд╛рдВрд╣ рдЬреИрд╕реА рдкрд░рд┐рдпреЛрдЬрдирд╛рдУрдВ рдореЗрдВ рдЕрдзрд┐рдХ рд░реБрдЪрд┐ рд░рдЦрддреЗ рдереЗ)

  3. рдпрд╣ рд╡рд┐рдЪрд╛рд░ рдХрд┐ рд╡реЗрд░рд┐рд▓реЙрдЧ рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░рдиреЗ рд╕реЗ рдкрд╣рд▓реЗ рд╕реНрдХреВрд▓реА рдмрдЪреНрдЪреЛрдВ рдХреЗ рддрд░реНрдХ рддрддреНрд╡реЛрдВ рдФрд░ рдПрдХреАрдХрд░рдг рдХреА рдПрдХ рдЫреЛрдЯреА рд╕реА рдбрд┐рдЧреНрд░реА рдХреЗ рдорд╛рдЗрдХреНрд░реЛрдХрд┐рд░рд┐рдЪреНрдпреБрдЯреНрд╕ рдкрд░ рдбреА-рдЯреНрд░рд┐рдЧрд░ рдХрд░рдирд╛ рдЙрдкрдпреЛрдЧреА рд╣реИ - рди рдХреЗрд╡рд▓ рдпрд╣ рдХрд┐ рдпрд╣ рдЕрд╕рдорд╛рди рд░реВрдк рд╕реЗ рдкреБрд╖реНрдЯрд┐ рдХреА рдЧрдИ рдереА, рд▓реЗрдХрд┐рди рдЕрдзрд┐рдХ рдФрдЪрд┐рддреНрдп рдкреНрд░рд╛рдкреНрдд рд╣реБрдЖред

  4. рдХреБрд▓ рдорд┐рд▓рд╛рдХрд░, рдмрд░рд╛рдорджреЗ рдХреЗ рд╕рд╛рде рдПрдХ рджрд┐рди рдХреЗ рдЕрдиреБрднрд╡ рдХреЗ рдмрд╛рдж, рдХреБрдЫ рдЫрд╛рддреНрд░реЛрдВ рдиреЗ рд╕рдордЭрджрд╛рд░реА рд╕реЗ рд╕рд╡рд╛рд▓ рдкреВрдЫрдирд╛ рд╢реБрд░реВ рдХрд┐рдпрд╛ - рдЙрджрд╛рд╣рд░рдг рдХреЗ рд▓рд┐рдП, рдмреНрд▓реЙрдХрд┐рдВрдЧ рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрдм рдХрд░рдирд╛ рд╣реИ рдФрд░ рдЧреИрд░-рдмреНрд▓реЙрдХрд┐рдВрдЧ рдЕрд╕рд╛рдЗрдирдореЗрдВрдЯ рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрдм рдХрд░рдирд╛ рд╣реИред

  5. рдпрд╣ рдЬрд╛рд╣рд┐рд░рд╛ рддреМрд░ рдкрд░ рд╕реНрдХреВрд▓реА рдмрдЪреНрдЪреЛрдВ рдХреЛ рд╢реВрдиреНрдп рд╕реЗ рд░рд╛рдЬреНрдп рдорд╢реАрдиреЛрдВ рдореЗрдВ рд▓рд╛рдиреЗ рдХреЗ рд▓рд┐рдП рдЕрд╡рд╛рд╕реНрддрд╡рд┐рдХ рд╣реИ рдЬреЛ рдПрдХ рд╕рдкреНрддрд╛рд╣ рдореЗрдВ рдПрд╕рдкреАрдЖрдИ рдЬреИрд╕реЗ рдкреНрд░реЛрдЯреЛрдХреЙрд▓ рдХреЛ рд▓рд╛рдЧреВ рдХрд░рддреЗ рд╣реИрдВ, рд▓реЗрдХрд┐рди рдХреЛрдб рд▓реЙрдХ рдпрд╛ рдЯреНрд░реИрдлрд┐рдХ рд▓рд╛рдЗрдЯ рдХреА рдПрдХ рд░рд╛рдЬреНрдп рдорд╢реАрди рдХреЗ рд▓рд┐рдП - рд╡рд╛рд╕реНрддрд╡ рдореЗрдВред

  6. рдкреНрд░реЛрд╕реЗрд╕рд░ рдХреЗ рдбрд┐рдЬрд╛рдЗрди рдХреЗ рд▓рд┐рдП рдПрдХ рд╕рдкреНрддрд╛рд╣ рдореЗрдВ рд▓рд╛рдиреЗ рдХреЗ рд▓рд┐рдП рднреА рдЕрд╡рд╛рд╕реНрддрд╡рд┐рдХ рд╣реИ, рд▓реЗрдХрд┐рди рд╣рдо рдиреЛрд╡реЛрд╕рд┐рдмрд┐рд░реНрд╕реНрдХ рдореЗрдВ рджреЛ рд╕рдкреНрддрд╛рд╣ рдореЗрдВ рдЗрд╕реЗ рдХрд░рдиреЗ рдХреА рдХреЛрд╢рд┐рд╢ рдХрд░реЗрдВрдЧреЗред

  7. рдХреАрд╡ рдкреНрд░рдпреЛрдЧ рдХреЗ рджреМрд░рд╛рди, рд╣рдордиреЗ FPGA рдФрд░ рдПрдореНрдмреЗрдбреЗрдб рдкреНрд░реЛрд╕реЗрд╕рд░ (MediaTek MT7688, рдкреНрд░рджрд░реНрд╢рди рдХреЗ рдорд╛рдорд▓реЗ рдореЗрдВ Arduino рдФрд░ Intel Galileo рдпрд╛ Rasbery Pye рдХреЗ рдмреАрдЪ рдореЗрдВ рдХрд╣реАрдВ рд╕реНрдерд┐рдд) рджреЛрдиреЛрдВ рдХреЗ рдмрд╛рд░реЗ рдореЗрдВ рдмрд╛рдд рдХрд░рдиреЗ рдХреА рдХреЛрд╢рд┐рд╢ рдХреАред рдлрд┐рд░ рд╣рдордиреЗ рдПрдХ рд╣реИрдХрдереЙрди (рдХреАрд╡-рдореЛрд╣рд┐рд▓рд╛ рдЕрдХрд╛рджрдореА рдореЗрдВ) рдмрдирд╛рдпрд╛ рдФрд░ рджреЗрдЦрд╛ рдХрд┐ рдХрд┐рддрдиреЗ рд╕реНрдХреВрд▓реА рдмрдЪреНрдЪреЛрдВ рдиреЗ рд╣реИрдХрдереЙрди рдХреЗ рд▓рд┐рдП рдПрдХ FPGA рдкреНрд░реЛрдЬреЗрдХреНрдЯ рдФрд░ рдХрд┐рддрдиреЗ рдПрдХ рдПрдХреАрдХреГрдд рдкреНрд░реЛрд╕реЗрд╕рд░ рдХреЗ рд╕рд╛рде рдПрдХ рдкреНрд░реЛрдЬреЗрдХреНрдЯ рдХреЛ рдЪреБрдирд╛ред рдпрд╣ рдкрддрд╛ рдЪрд▓рд╛ - 50 рд╕реЗ 50. рдпрд╣ рджрд┐рд▓рдЪрд╕реНрдк рд╣реИ - рд╣реИрдХрд╛рдереЙрди рд╕реЗ рдкрд╣рд▓реЗ, рдореБрдЭреЗ рдирд╣реАрдВ рдкрддрд╛ рдерд╛ рдХрд┐ рдмреНрд░реЗрдХрдбрд╛рдЙрди рдХреНрдпрд╛ рд╣реЛрдЧрд╛ред

  8. рдореБрдЭреЗ рдЪрд┐рдВрддрд╛ рдереА рдХрд┐ рд╕реНрдХреВрд▓реА рдмрдЪреНрдЪреЛрдВ рдХреЛ Xilinx Vivado рдореЗрдВ рдЙрдкрдпреЛрдЧрдХрд░реНрддрд╛ рдЗрдВрдЯрд░рдлрд╝реЗрд╕ рджреНрд╡рд╛рд░рд╛ рднреНрд░рдорд┐рдд рдХрд┐рдпрд╛ рдЬрд╛ рд╕рдХрддрд╛ рд╣реИ, рд╡рд┐рдХрд▓реНрдк рдФрд░ рдЗрдВрдЯрд░рдлрд╝реЗрд╕ рддрддреНрд╡реЛрдВ рдХреЗ рдЯрди рдХреЗ рд╕рд╛рдеред рд▓реЗрдХрд┐рди рдпрд╣ рдкрддрд╛ рдЪрд▓рд╛ рдХрд┐ рдЫрд╛рддреНрд░реЛрдВ рдиреЗ рдзреНрдпрд╛рди рдирд╣реАрдВ рджрд┐рдпрд╛ - рдЬрдЯрд┐рд▓ рдЬреАрдпреВрдЖрдИ рдиреЗ рдЙрдиреНрд╣реЗрдВ рдбрд░рд╛рдпрд╛ рдирд╣реАрдВред

  9. рдЬреНрдпрд╛рджрд╛рддрд░ рдЫрд╛рддреНрд░ рд╡рд┐рдВрдбреЛрдЬ рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░рддреЗ рд╣реИрдВред рдЕрдкрдиреЗ рд╕рд╣рдпреЛрдЧреА рдЕрд▓реЗрдХреНрдЬреЗрдВрдбрд░ рдмреЗрд▓рд┐рдЯреНрд╕ рдХреЗ рд╕рд╛рде, рдореЗрд░реЗ рдкрд╛рд╕ рдРрд╕реЗ рдЖрдпреЛрдЬрдиреЛрдВ рдХреА рддреИрдпрд╛рд░реА рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рдПрдХ рджрд░реНрдЬрди рд╕реЗ рдЕрдзрд┐рдХ рдмреВрдЯреЗрдмрд▓ рдПрд╕рдПрд╕рдбреА рдбреНрд░рд╛рдЗрд╡ рд╣реИрдВ рдЬреЛ рд▓рд┐рдирдХреНрд╕ (рдЙрдмрдВрдЯреВ рдпрд╛ рд╕реЗрдВрдЯреЛрд╕) рдХреЗ рд╕рд╛рде рд╣реИрдВ рдФрд░ рдЙрдиреНрд╣реЗрдВ рдЗрд╡реЗрдВрдЯ рд╕реЗ рд▓реЗрдХрд░ рдЗрд╡реЗрдВрдЯ рддрдХ рдкрд╣рдирдирд╛ рд╣реИред рдПрд▓реЗрдХреНрд╕ рдиреЗ рдРрд╕реА рдбреНрд░рд╛рдЗрд╡ рдХреЛ рдХреНрд▓реЛрди рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рдПрдХ рд╕реНрдХреНрд░рд┐рдкреНрдЯ рднреА рд▓рд┐рдЦреА рдереАред рдЙрдмрдВрдЯреВ рдорд┐рддреНрд░рд╡рдд рд╣реИ, рд▓реЗрдХрд┐рди CentOS рдЕрдзрд┐рдХ рдЖрдзрд┐рдХрд╛рд░рд┐рдХ рддреМрд░ рдкрд░ FPGA рд╕рд░реНрдХрд┐рдЯ рдбрд┐рдЬрд╛рдЗрди рд╕реНрд╡рдЪрд╛рд▓рди рдЙрдкрдХрд░рдг рджреНрд╡рд╛рд░рд╛ рд╕рдорд░реНрдерд┐рдд рд╣реИ, рд╡рд┐рд╢реЗрд╖ рд░реВрдк рд╕реЗ Xilinx Vivado рдФрд░ Altera Quartus рдореЗрдВред рдХреНрдпрд╛ рдЧреНрд░реАрд╖реНрдордХрд╛рд▓реАрди рд╢рд┐рд╡рд┐рд░ рдХреЗ рджреМрд░рд╛рди рдПрдХ рд╣реА рд╕рдордп рдореЗрдВ рд▓рд┐рдирдХреНрд╕ рд╢реИрдХреНрд╖рдгрд┐рдХ рдХрд╛рд░реНрдпрдХреНрд░рдо рдФрд░ рд╡реЗрд░рд┐рд▓реЙрдЧ / рдПрдлрдкреАрдЬреАрдП рд╢реИрдХреНрд╖рд┐рдХ рдХрд╛рд░реНрдпрдХреНрд░рдо рдХрд░рдирд╛ рд╕рдВрднрд╡ рд╣реИ? рдпрд╣ рд╕реНрдкрд╖реНрдЯ рдирд╣реАрдВ рд╣реИ, рд▓реЗрдХрд┐рди рдпрд╣ рдХреЛрд╢рд┐рд╢ рдХреА рдЬрд╛ рд╕рдХрддреА рд╣реИред

  10. рдЖрд░реНрдЯрд┐рдХреНрд╕ -7 рдПрдлрдкреАрдЬреАрдП рдХреЛ рдХреЙрдиреНрдлрд╝рд┐рдЧрд░ рдХрд░рддреЗ рд╕рдордп рдпрд╣ рдкрддрд╛ рдЪрд▓рддрд╛ рд╣реИ рдХрд┐ рдПрдХреНрд╕рд┐рд▓реИрдХреНрд╕ рд╡рд┐рд╡рд╛рдбреЛ рдирд┐рд░реНрджрдпрддрд╛ рд╕реЗ рдЫреЛрдЯреА рдЧрд╛рдбрд╝реА рд╣реИ, рдЕрдЧрд░ рдЖрдк рд╕рд╕реНрддреЗ рдЦрд░рд╛рдм рдкрд░рд┐рд░рдХреНрд╖рд┐рдд рдорд╛рдЗрдХреНрд░реЛ-рдпреВрдПрд╕рдмреА рдХреЗрдмрд▓ рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░рддреЗ рд╣реИрдВред рдХреБрдЫ рд░рд╣рд╕реНрдпрдордп рдмрд╛рдврд╝ рдкрд░, рдХреАрд╡ рдЬрд╛рдиреЗ рд╕реЗ рдкрд╣рд▓реЗ, рдореИрдВрдиреЗ рдЕрдкрдиреЗ рд╕реВрдЯрдХреЗрд╕ рдореЗрдВ рдПрдХ рджрд░реНрдЬрди рдЙрдЪреНрдЪ-рдЧреБрдгрд╡рддреНрддрд╛ рд╡рд╛рд▓реЗ рдбреЛрд░рд┐рдпреЛрдВ рдХреЛ рд░рдЦрд╛, рдФрд░ рдЗрд╕ рд╕реЗрдорд┐рдирд╛рд░ рдХреЛ рдмрдЪрд╛рдпрд╛ред

  11. рдореБрдЭреЗ рдкрддрд╛ рдерд╛ рдХрд┐ рдмреНрд░реЗрдбрдмреЛрд░реНрдбреЗрдмрд▓ рдПрдлрдкреАрдЬреАрдП рдореЙрдбреНрдпреВрд▓ (рдПрдлрдкреАрдЬреАрдП рдмреЛрд░реНрдб рдЬреЛ рдмреНрд░реЗрдбрдмреЛрд░реНрдб рдмреЛрд░реНрдб рдореЗрдВ рдЪрд┐рдкрдХреЗ рд░рд╣рддреЗ рд╣реИрдВ) рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░рддреЗ рд╣реИрдВ, рдЬреИрд╕реЗ рдХрд┐ рдбрд┐рдЬреАрд▓реЗрдВрдЯ рд╕реАрдПрдордУрдбреА рдП 7 35 рдЯреА , рдПрдлрдкреАрдЬреАрдП рдХреЗ рд╕рд╛рде рдЕрднреНрдпрд╛рд╕ рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рдЫрд╛рддреНрд░реЛрдВ рдХреЛ рдЫреЛрдЯреЗ рдПрдХреАрдХрд░рдг рдЪрд┐рдкреНрд╕ рдХреЗ рд╕рд╛рде рдЕрднреНрдпрд╛рд╕ рд╕реЗ рд╕реНрд╡рд┐рдЪ рдХрд░рдирд╛ рдЖрд╕рд╛рди рдмрдирд╛ рд╕рдХрддрд╛ рд╣реИред рдХреНрдпрд╛ рдпрд╣ рд╡рд┐рдЪрд╛рд░ рд╕рдЪ рд╣реИ, рдореБрдЭреЗ рд╕рдордЭ рдирд╣реАрдВ рдЖрдпрд╛ред рд╢рд╛рдпрдж рдЯреЗрд░реЗрд░рд┐рдХ рдбреА 10-рд▓рд╛рдЗрдЯ рдЬреИрд╕реЗ рдкрд░рд┐рдзреАрдпреЛрдВ рдХреЗ рдПрдХ рд╕рдореГрджреНрдз рд╕реЗрдЯ рдХреЗ рд╕рд╛рде рдмрдбрд╝реЗ рдорджрд░рдмреЛрд░реНрдб рдХрд╛ рдЙрдкрдпреЛрдЧ, рдЗрд╕рд╕реЗ рднреА рдмрджрддрд░ рдирд╣реАрдВ рд╣реЛрдЧрд╛ред рд╡реИрд╕реЗ рднреА, рдЬрдм FPGA рдХреЗ рдПрдХреАрдХрд░рдг рдХреА рдХрдо рдбрд┐рдЧреНрд░реА (CMOS 4000 рдпрд╛ 74HC) рдХреЗ рдорд╛рдЗрдХреНрд░реЛрдХреНрд░рд┐рд╕реНрдХреЗрдЯреНрд╕ рд╕реЗ рд╕реНрд╡рд┐рдЪ рдХрд░рдиреЗ рдкрд░ - рдЖрдкрдХреЛ рдЖрдкреВрд░реНрддрд┐ рд╡реЛрд▓реНрдЯреЗрдЬ (9 V рдпрд╛ 5 V рд╕реЗ 3.3 V рддрдХ) рдмрджрд▓рдиреЗ рдХреА рдЖрд╡рд╢реНрдпрдХрддрд╛ рд╣реЛрддреА рд╣реИ, рдЗрд╕рд▓рд┐рдП рдЖрдк CMOD 4013 рдХреЛ рдПрдХ рд╣реА рдмреНрд░реЗрдбрдмреЛрд░реНрдб рдореЗрдВ рдХрд╣рдиреЗ рдХреЗ рдмрдЬрд╛рдп Cmod A7 рдХреЛ рдкреНрд▓рдЧ рдирд╣реАрдВ рдХрд░ рд╕рдХрддреЗред рд░реЛрд╢рдиреА рдФрд░ рдмрдЯрди рдХреЗ рд╕рд╛рде, рдФрд░ рдЙрдореНрдореАрдж рд╣реИ рдХрд┐ рд╕рдм рдХреБрдЫ рдХрд╛рдо рдХрд░рдирд╛ рдЬрд╛рд░реА рд░рдЦреЗрдЧрд╛ред

  12. рдореИрдВрдиреЗ рдЕрдкрдиреЗ рдЕрд╡рд▓реЛрдХрди рдХреА рдкреБрд╖реНрдЯрд┐ рдХреА рдХрд┐ рдЕрд▓реНрдкрдХрд╛рд▓рд┐рдХ (1 рд╕рдкреНрддрд╛рд╣) рд╢реИрдХреНрд╖рд┐рдХ рдШрдЯрдирд╛рдУрдВ рдХрд╛ рд╕рдВрдЪрд╛рд▓рди рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП, рдпрд╣ рдорд╣рддреНрд╡рдкреВрд░реНрдг рд╣реИ рдХрд┐ рд╕реНрдерд╛рдиреАрдп рд╡рд┐рд╢реНрд╡рд╡рд┐рджреНрдпрд╛рд▓рдп рдХреЗ рдЫрд╛рддреНрд░реЛрдВ рдХреА рдПрдХ рдЯреАрдо рд╕реНрдХреВрд▓реА рдмрдЪреНрдЪреЛрдВ рдХреЛ рд╕рд▓рд╛рд╣ рджреЗред рдХреАрд╡ рдорд╛рдорд▓реЗ рдореЗрдВ, рдРрд╕реА рдЯреАрдо рдХреЛ рдХреАрд╡ рдкреЙрд▓рд┐рдЯреЗрдХреНрдирд┐рдХ рдЗрдВрд╕реНрдЯреАрдЯреНрдпреВрдЯ рдХреЗ рд╢рд┐рдХреНрд╖рдХ рдпреВрдЬреАрди рдХреЛрд░реЛрдЯрдХреА рджреНрд╡рд╛рд░рд╛ рдкреНрд░рджрд╛рди рдХрд┐рдпрд╛ рдЧрдпрд╛ рдерд╛ред

  13. рдпрд╣ рдХрдо рдпрд╛ рдЬреНрдпрд╛рджрд╛ рд╕реНрдкрд╖реНрдЯ рд╣реЛ рдЧрдпрд╛ рдХрд┐ рдЗрд╕ рддрд░рд╣ рдХреЗ рдЖрдпреЛрдЬрдиреЛрдВ рдХреЗ рдХрд╛рд░реНрдпрдХреНрд░рдо рдореЗрдВ рдЯреНрд░рд╛рдВрдЬрд┐рд╕реНрдЯрд░ рдХреЗ рд╕реНрддрд░ рдХреЗ рдмрд╛рд░реЗ рдореЗрдВ рдФрд░ рдорд╛рдЗрдХреНрд░реЛрдХрд┐рд░рд┐рдХреЗрдЯреНрд╕ рдХреЗ рдЙрддреНрдкрд╛рджрди рдХреЗ рдмрд╛рд░реЗ рдореЗрдВ рдХреНрдпрд╛ рд╢рд╛рдорд┐рд▓ рд╣реИред рдпрд╣ рд╣рд┐рд╕реНрд╕рд╛ рдЫрд╛рддреНрд░реЛрдВ рдХреЗ рд▓рд┐рдП рдкрд╛рдареНрдпрдХреНрд░рдо рдиреИрдиреЛрдореАрдЯрд░ рдПрдПрд╕рдЖрдИрд╕реА рдкрд░ рд╕рд╛рдордЧреНрд░реА рдХреЗ рдЕрдиреБрд╡рд╛рджрдХ рдХреАрд╡ рдиреЗрд╢рдирд▓ рдпреВрдирд┐рд╡рд░реНрд╕рд┐рдЯреА рдЕрд▓реЗрдХреНрдЬреЗрдВрдбрд░ рдмрд╛рд░рдмрд╛рдиреЛрд╡ рдХреЗ рдПрд╕реЛрд╕рд┐рдПрдЯ рдкреНрд░реЛрдлреЗрд╕рд░ рджреНрд╡рд╛рд░рд╛ рдкрдврд╝рд╛рдпрд╛ рдЧрдпрд╛ рдерд╛ред рдЬрд╛рд╣рд┐рд░ рд╣реИ, рдЗрд╕ рд╕рд╛рдордЧреНрд░реА рдХреЛ рд╕реНрдХреВрд▓реА рдмрдЪреНрдЪреЛрдВ рдХреЗ рд▓рд┐рдП рд╡рд╛рд░реНрд╖рд┐рдХ рдкрд╛рдареНрдпрдХреНрд░рдо рдореЗрдВ рдкреВрд░реА рддрд░рд╣ рд╕реЗ рд╢рд╛рдорд┐рд▓ рдХрд┐рдпрд╛ рдЬрд╛рдирд╛ рдЪрд╛рд╣рд┐рдП, рдПрдХ рдЫреЛрдЯреЗ рд╕реЗ рдореЗрдВ - рдПрдХ рдЧреНрд░реАрд╖реНрдордХрд╛рд▓реАрди рд╢рд┐рд╡рд┐рд░ рдХреЗ рдкреНрд░рд╛рд░реВрдк рдореЗрдВ рджреЛ рд╕рдкреНрддрд╛рд╣ рдХреЗ рдкрд╛рдареНрдпрдХреНрд░рдо рдореЗрдВ, рд▓реЗрдХрд┐рди рдПрдХ рд╕рдкреНрддрд╛рд╣ рд╕реЗ рдХрдо рд╕рдордп рдХреА рдЕрд╡рдзрд┐ рдХреЗ рд╕рд╛рде рдЖрдкрдХреЛ рд╕рдВрднрд╡рддрдГ рдПрдХреАрдХрд░рдг рдХреА рдПрдХ рдЫреЛрдЯреА рд╕реА рдбрд┐рдЧреНрд░реА (рд╢реБрд░реБрдЖрдд рдХреЗ 1 рдШрдВрдЯреЗ рдХреЗ рднреАрддрд░) рдХреЗ рдорд╛рдЗрдХреНрд░реЛрдХреНрд░рд┐рд╕реНрдХреЗрдЯреНрд╕ рджреЗрдиреЗ рдЪрд╛рд╣рд┐рдПред (рдЙрджрд╛рд╣рд░рдг рдХреЗ рд▓рд┐рдП рд╕реАрдПрдордУрдПрд╕ 4000) рдФрд░ рдкрд╛рд╕ рдореЗрдВ рдЯреНрд░рд╛рдВрдЬрд┐рд╕реНрдЯрд░ рдХрд╛ рдЙрд▓реНрд▓реЗрдЦ рдХрд░реЗрдВ, "рдПрдХ рдЯреНрд░рд╛рдВрдЬрд┐рд╕реНрдЯрд░ рдПрдХ рдЙрдкрдХрд░рдг рд╣реИ, рдЬрд┐рд╕рдореЗрдВ рдзрд╛рд░рд╛ рдП рд╕реЗ рдмрд┐рдВрджреБ рдмреА рддрдХ рдкреНрд░рд╡рд╛рд╣ рд╣реЛрддрд╛ рд╣реИ, рдЕрдЧрд░ рд╕реА рдореЗрдВ рд╡реЛрд▓реНрдЯреЗрдЬ рд╕реА 1 (рдпрд╛ 0) рд╣реИред рдЯреНрд░рд╛рдВрдЬрд┐рд╕реНрдЯрд░ рд╕реЗ рддрд╛рд░реНрдХрд┐рдХ рддрддреНрд╡реЛрдВ рдХрд╛ рдирд┐рд░реНрдорд╛рдг рд╕рдВрднрд╡ рд╣реИ рдФрд░, рдпрд╛ рдирд╣реАрдВ, (рдЪрд┐рддреНрд░ рджрд┐рдЦрд╛рдПрдВ)ред "

  14. рдПрдХ рдмрдбрд╝реЗ рджрд░реНрд╢рдХ рд╡рд░реНрдЧ рдХреЗ рд╕рд╛рдордиреЗ рд╡реНрдпрд╛рдЦреНрдпрд╛рди рдХреЗ рджреМрд░рд╛рди, рдЫрд╛рддреНрд░реЛрдВ рдХреЛ рдПрдХ рдЫреЛрдЯреЗ рд╕реНрддрд░ рдХреЗ рдПрдХреАрдХрд░рдг рдХреЗ рдорд╛рдЗрдХреНрд░реЛрдХрд┐рд░реБрдХреЗрдЯреНрд╕ рд╕реЗ рдмреНрд░реЗрдбрдмреЛрд░реНрдб рдкрд░ рдЗрдХрдЯреНрдареЗ рд╕рд░реНрдХрд┐рдЯ рджрд┐рдЦрд╛рдП рдЬрд╛ рд╕рдХрддреЗ рд╣реИрдВред рдпрд╣ рджреГрд╢реНрдп рд╣реИ, рдмреНрдпрд╛рдЬ рдХреЛ рдЙрдХрд╕рд╛рддрд╛ рд╣реИ, рдФрд░ рдбрд┐рдЬрд╝рд╛рдЗрди рдХреЛ рдХрдВрдкреНрдпреВрдЯрд░ рд╕реЗ рдЬреЛрдбрд╝рдиреЗ рдХреА рднреА рдЖрд╡рд╢реНрдпрдХрддрд╛ рдирд╣реАрдВ рд╣реИ - рдХреЗрд╡рд▓ рдПрдХ 9 рд╡реА рдмреИрдЯрд░реА рдХреЗ рд╕рд╛рдеред рдореИрдВрдиреЗ рдЗрдирдореЗрдВ рд╕реЗ рддреАрди рд╕рд░реНрдХрд┐рдЯ рджрд┐рдЦрд╛рдП рдЬреЛ рд╕рдордЭрдиреЗ рдХреЗ рд▓рд┐рдП рд╕рдмрд╕реЗ рдорд╣рддреНрд╡рдкреВрд░реНрдг рд╣реИрдВ - рдПрдХреНрд╕рдУрдЖрд░ рд▓реЙрдЬрд┐рдХ рддрддреНрд╡, 1-рд╣рд░реНрдЯреНрдЬ рдХреЗ рдХреНрд░рдо рдХреА рдЖрд╡реГрддреНрддрд┐ рдХреЗ рд╕рд╛рде рдПрдХ 4-рдмрд┐рдЯ рдХреЙрдореНрдмрд┐рдирд░ рдбреА-рдЯреНрд░рд┐рдЧрд░ рдПрдбрд┐рдХреНрд╢рдиред рдпрд╛рдиреА рдкреНрд░рддрд┐ рд╕реЗрдХрдВрдб рдорд╛рдк)ред

  15. рдпрд╣ рд╕рд╡рд╛рд▓ рдЦреБрд▓рд╛ рд░рд╣рддрд╛ рд╣реИ рдХрд┐ рдХреНрдпрд╛ рдЫрд╛рддреНрд░реЛрдВ рдХреЛ рдкрд╛рдЗрдкрд▓рд╛рдЗрдирд┐рдВрдЧ рдХреЗ рд╡рд┐рдЪрд╛рд░, рдбрд┐рдЬрд┐рдЯрд▓ рдбрд┐рдЬрд╛рдЗрди рдореЗрдВ рд╕рдмрд╕реЗ рд╢рдХреНрддрд┐рд╢рд╛рд▓реА рд╡рд┐рдЪрд╛рд░реЛрдВ рдореЗрдВ рд╕реЗ рдПрдХ рдФрд░ рд╕рд╛рдорд╛рдиреНрдп рд░реВрдк рд╕реЗ рдХрдВрдкреНрдпреВрдЯрд┐рдВрдЧ рдХреЗ рд╕рдВрдЧрдарди рдХреЛ рд╕рд┐рдЦрд╛рдирд╛ рд╕рдВрднрд╡ рд╣реИред рдЗрд╕рдХреЗ рд▓рд┐рдП, рдПрдХ рдХрдиреНрд╡реЗрдпрд░ рдкреНрд░реЛрд╕реЗрд╕рд░ рдмрдирд╛рдиреЗ рдХреЗ рд▓рд┐рдП рдЖрд╡рд╢реНрдпрдХ рдирд╣реАрдВ рд╣реИ, рдЖрдк рдПрдХ рдХрдиреНрд╡реЗрдпрд░ рдЕрдВрдХрдЧрдгрд┐рддреАрдп рдбрд┐рд╡рд╛рдЗрд╕ рдмрдирд╛ рд╕рдХрддреЗ рд╣реИрдВред рд╢рд╛рдпрдж рдпрд╣ рдкрд╛рдареНрдпрдХреНрд░рдо рдХреЗ рдмрд╣реБрдд рдЕрдВрдд рдореЗрдВ рдЙрд▓реНрд▓реЗрдЦ рдХрд┐рдпрд╛ рдЬрд╛ рд╕рдХрддрд╛ рд╣реИ, рдЬрдм рдмрдЪреНрдЪреЗ рд╕рд░рд▓ рджрд╣рдирд╢реАрд▓ рдФрд░ рдЕрдиреБрдХреНрд░рдорд┐рдХ рд╕рд░реНрдХрд┐рдЯ рдФрд░ рд░рд╛рдЬреНрдп рдорд╢реАрдиреЛрдВ рдХреЗ рд╕рд╛рде рдкрд░реНрдпрд╛рдкреНрдд рдЦреЗрд▓рддреЗ рд╣реИрдВред рд╕рдмрд╕реЗ рдЕрдзрд┐рдХ рд╕рдВрднрд╛рд╡рдирд╛ рд╣реИ рдХрд┐ рдпрд╣ рд╕реНрдХреВрд▓реА рдмрдЪреНрдЪреЛрдВ рдХреЗ рдПрдХ рдмрд╣реБрдд рдЫреЛрдЯреЗ рд╡рд░реНрдЧ рдХреЗ рд▓рд┐рдП рджрд┐рд▓рдЪрд╕реНрдк рд╣реЛрдЧрд╛, рд▓реЗрдХрд┐рди рдпрд╣ рдПрдХ рдХреЛрд╢рд┐рд╢ рдХреЗ рд▓рд╛рдпрдХ рд╣реИред

  16. рдЕрдзрд┐рдХ рдкреНрд░рд╛рдорд╛рдгрд┐рдХ рдШрдЯрдирд╛рдУрдВ рдХреЗ рд▓рд┐рдП, рдЖрдк рд╡реНрдпрдХреНрддрд┐рдЧрдд рдкрд░рд┐рдпреЛрдЬрдирд╛рдУрдВ рдФрд░ рд╕рддреНрдпрд╛рдкрди рдХрд╛рд░реНрдп рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░ рд╕рдХрддреЗ рд╣реИрдВред



GitHub рдкрд░ рдХреЛрдб рдХрд╛ рдЙрдкрдпреЛрдЧ рдХреАрд╡ рдШрдЯрдирд╛ рдХреЗ рд▓рд┐рдП рдХрд┐рдпрд╛ рдЧрдпрд╛ рдерд╛



4. рднрд╡рд┐рд╖реНрдп рдХреА рд╕рд╛рдордЧреНрд░реА рдХреЗ рд╡рд┐рдХрд╛рд╕ рдХреЗ рд▓рд┐рдП рдЬрд╛рдирдХрд╛рд░реА рдХреЗ рд╕реНрд░реЛрддред

рд╢рд╛рд░реАрд░рд┐рдХ рд╢рд┐рдХреНрд╖рд╛ рд╕реНрдХреВрд▓реЛрдВ рдХреЗ рд╢рд┐рдХреНрд╖рдХреЛрдВ рдХреЗ рд▓рд┐рдП рдЬрд╛рдирдХрд╛рд░реА рдХреЗ рд╕реНрд░реЛрдд, рдЬреЛ рдХрд┐ рдпреВрдХреНрд░реЗрди, рд░реВрд╕ рдФрд░ рд╕рд┐рд▓рд┐рдХреЙрди рд╡реИрд▓реА рдореЗрдВ рдЫрд╛рддреНрд░реЛрдВ рдХреЗ рд╕рд╛рде рдкреНрд░рд╛рд░рдВрднрд┐рдХ рдкреНрд░рдпреЛрдЧреЛрдВ рдХреЗ рдмрд╛рдж, рдЕрдзрд┐рдХрд╛рдВрд╢ рд╡рд┐рд╕реНрддреГрдд рд╕рд╛рдордЧреНрд░рд┐рдпреЛрдВ рдХреЛ рд╡рд┐рдХрд╕рд┐рдд рдХрд░рдиреЗ рдХреА рд╕рдВрднрд╛рд╡рдирд╛ рд╣реИ:

  1. рдбреЗрд╡рд┐рдб рд╣реИрд░рд┐рд╕ рдФрд░ рд╕рд╛рд░рд╛ рд╣реИрд░рд┐рд╕ рджреНрд╡рд╛рд░рд╛ рдкрд╛рдареНрдпрдкреБрд╕реНрддрдХ "рдбрд┐рдЬрд┐рдЯрд▓ рд╕рд░реНрдХрд┐рдЯрд░реА рдФрд░ рдХрдВрдкреНрдпреВрдЯрд░ рдЖрд░реНрдХрд┐рдЯреЗрдХреНрдЪрд░", рджреВрд╕рд░рд╛ рд╕рдВрд╕реНрдХрд░рдг, рд░реВрд╕реА рдЕрдиреБрд╡рд╛рджред рдЗрд╕ рдкрд╛рдареНрдпрдкреБрд╕реНрддрдХ рдХреЛ рдореБрдлреНрдд рдореЗрдВ рдбрд╛рдЙрдирд▓реЛрдб рдХрд┐рдпрд╛ рдЬрд╛ рд╕рдХрддрд╛ рд╣реИ, рдЗрд╕рдХреЗ рдмрд╛рд░реЗ рдореЗрдВ рд╣реИрдмреЗрд░рд╛ рдкрд░ рд▓реЗрдЦ рджреЗрдЦреЗрдВ - 1 , 2 , 3 ред рд╣рд╛рд▓ рд╣реА рдореЗрдВ, рдмреЗрд╣рддрд░ рд░рдВрдЧ рдореБрджреНрд░рдг рдХреЗ рд╕рд╛рде рдПрдХ рдирдпрд╛ рдкреЗрдкрд░ рд╕рдВрд╕реНрдХрд░рдг рднреА рдЬрд╛рд░реА рдХрд┐рдпрд╛ рдЧрдпрд╛ рд╣реИред

  2. рдкрд╛рдареНрдпрдкреБрд╕реНрддрдХ рд╣реИрд░рд┐рд╕ рдФрд░ рд╣реИрд░рд┐рд╕ рдХреЗ рдЕрд▓рд╛рд╡рд╛, рд╢рд┐рдХреНрд╖рдХреЛрдВ рдХреЗ рд▓рд┐рдП рд╕реНрд▓рд╛рдЗрдбред рдореБрдлреНрдд рдбрд╛рдЙрдирд▓реЛрдбред

  3. рдирдВрдж рд╕реЗ рдлреНрд░реЙрдо рдирдВрдж рдкрд░ рд╕рд╛рдордЧреНрд░реА, рдЬреЛ рдЗрдЬрд╝рд░рд╛рдЗрд▓ рдореЗрдВ рдмрдирд╛рдИ рдЧрдИ рдереА рдФрд░ рдХреБрдЫ рдЕрдореЗрд░рд┐рдХреА рд╡рд┐рд╢реНрд╡рд╡рд┐рджреНрдпрд╛рд▓рдпреЛрдВ рдореЗрдВ рдкреЗрд╢ рдХреА рдЧрдИ рдереАред рдПрдХ рд╣реА рд╕рдордп рдореЗрдВ, IMHO, рдкрд╛рдареНрдпрдХреНрд░рдо рдХреЛ рд╡рд┐рдЪрд╛рд░реЛрдВ рдФрд░ рдПрдХ рдХрдВрдХрд╛рд▓ рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░рдирд╛ рдЪрд╛рд╣рд┐рдП, рд▓реЗрдХрд┐рди рдХреГрддреНрд░рд┐рдо рд░реВрдк рд╕реЗ "рдмрдЪреНрдЪреЛрдВ рдХреЗ" рдЯреВрд▓ рдХреЗ рдмрдЬрд╛рдп "рд╡рдпрд╕реНрдХ" рдбрд┐рдЬрд╛рдЗрди рдЙрдкрдХрд░рдгреЛрдВ рдХреЗ рд╕рдмрд╕реЗрдЯ рдкрд░ рдХрд╛рд░реНрдпрд╛рдиреНрд╡рдпрди рдХрд░рдирд╛ рдЪрд╛рд╣рд┐рдП, рдЬреИрд╕рд╛ рдХрд┐ рдкрд╛рдареНрдпрдХреНрд░рдо рдХрд░рддрд╛ рд╣реИред рдкреНрд░рдореБрдЦ рднрд╛рдЧреЛрдВ рдХреЛ рдореБрдлреНрдд рдореЗрдВ рдбрд╛рдЙрдирд▓реЛрдб рдХрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИред

  4. рдЪрд╛рд░реНрд▓реНрд╕ рдкреЗрдЯрдЬрд╝реЛрд▓реНрдб рдХреА рдкреБрд╕реНрддрдХ "рдХреЛрдб", рдЬреЛ рдЙрдВрдЧрд▓рд┐рдпреЛрдВ рдкрд░ рдХрдИ рдЕрд╡рдзрд╛рд░рдгрд╛рдУрдВ рдХреЛ рдкрд░реНрдпрд╛рдкреНрдд рд░реВрдк рд╕реЗ рд╕рдордЭрд╛рддреА рд╣реИ рдФрд░ рдЬрд┐рд╕рдХрд╛ рд╕реНрддрд░ рд╕реНрдХреВрд▓ рд╕реНрддрд░ рд╕реЗ рдореЗрд▓ рдЦрд╛рддрд╛ рд╣реИ (рдпрд╣ рд╡рд┐рдЪрд╛рд░ рдХреАрд╡ рдУрдЖрд░рдЯреА рд╕реНрдХреВрд▓ рд╕рд░реНрдЧреЗрдИ рдбреЗрдЬрд╝реБрдмрд╛ рдХреЗ рд╢рд┐рдХреНрд╖рдХ рджреНрд╡рд╛рд░рд╛ рд╕реБрдЭрд╛рдпрд╛ рдЧрдпрд╛ рдерд╛)ред рдлрд┐рд░ рд╕реЗ, рдореЗрд░реЗ рджреГрд╖реНрдЯрд┐рдХреЛрдг рд╕реЗ, рдпрд╣ рдПрдХ рдХрд┐рддрд╛рдм рд╕реЗ рд╡рд┐рдЪрд╛рд░реЛрдВ рдФрд░ рдПрдХ рдХрдВрдХрд╛рд▓ рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░рдиреЗ рдХреЗ рд▓рд╛рдпрдХ рд╣реИ, рд▓реЗрдХрд┐рди рдЕрдзрд┐рдХрд╛рдВрд╢ рд╕рд╛рдордЧреНрд░реА рдХреЛ рдЕрдзрд┐рдХ рд╕рд╣реА рдПрдХ (рд▓реЗрдЪреЗрд╕ (рдбреА-рд▓реИрдЪ) рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░рдиреЗ рдХреЗ рдмрдЬрд╛рдп рдбреА-рдлреНрд▓рд┐рдк-рдлреНрд▓реЙрдкреНрд╕ (рдбреА-рдлреНрд▓рд┐рдк-рдлреНрд▓реЙрдк)) рдФрд░ рдЖрдзреБрдирд┐рдХ рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░рдирд╛ рдЪрд╛рд╣рд┐рдП, рдЙрджрд╛рд╣рд░рдг рдХреЗ рд▓рд┐рдП, рд╕рдВрдЪрд╛рдпрдХ рдХреЗ рдмрдЬрд╛рдпред -рдмреЗрдб 6800 рдФрд░ рдкреБрд░рд╛рддрди 8080 RISC рдЖрд░реНрдХрд┐рдЯреЗрдХреНрдЪрд░ рдХреЗ рд╕рдмрд╕реЗрдЯ рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░рддреЗ рд╣реИрдВред

  5. рдЕрдореЗрд░рд┐рдХреА рдХрдВрдкрдиреА рдИрдЯреНрд░реЙрди рд╕рд░реНрдХрд┐рдЯ рд▓реИрдмреНрд╕, рд░реВрд╕реА рдХрдВрдкрдиреА рд╕рд╛рдЗрдмрд░рдлрд┐рдЬрд┐рдХрд╛, рдпреВрдХреНрд░реЗрдиреА рдХрдВрдкрдиреА рд░реЗрдбрд┐рдУрдордЧ (рдореИрдВ рдЖрдкрдХреА рд░реБрдЪрд┐ рдХреЗ рдЕрдиреБрд╕рд╛рд░, рдЗрди рд╕реЗрдЯреЛрдВ рдХреЗ рдмреАрдЪ рдХреЗ рдЕрдВрддрд░реЛрдВ рдХреА рд╡реНрдпрд╛рдЦреНрдпрд╛ рдХрд░ рд╕рдХрддрд╛ рд╣реВрдВ) рд╕реЗ рдмреНрд░реЗрдбрдмреЛрд░реНрдб рдкрд░ рдЖрдзрд╛рд░рд┐рдд рдмреНрд░реЗрдбрдмреЛрд░реНрдб рдкрд░ рд╕рд░реНрдХрд┐рдЯ рдирд┐рд░реНрдорд╛рдг рдХреЗ рд▓рд┐рдП рдХрд┐рдЯ рдФрд░ рдЕрдореЗрд░рд┐рдХреА рдХрдВрдкрдиреА рдИрдЯреНрд░реЙрди рд╕рд░реНрдХрд┐рдЯ рд▓реИрдмреНрд╕ рдХреЗ рдПрдХреАрдХрд░рдг рдХреА рдЫреЛрдЯреА рд╕реА рдбрд┐рдЧреНрд░реА рдХреЗ рдорд╛рдЗрдХреНрд░реЛрдЯреНрд░рд┐рдХреНрд╕ред

  6. FPGAs (Xilinx, Altera) рдФрд░ FPGAs (Digilent, Terasic) рдХреЗ рд╕рд╛рде рд╢реИрдХреНрд╖рд┐рдХ рдХрд╛рд░реНрдб рдХреЗ рдирд┐рд░реНрдорд╛рддрд╛рдУрдВ рдХреА рд╕рд╛рдордЧреНрд░реАред

  7. рдХреЛрдб рдЙрджрд╛рд╣рд░рдгреЛрдВ, рдкрд░реАрдХреНрд╖рдгреЛрдВ рдФрд░ рдкрд░рд┐рдпреЛрдЬрдирд╛ рд╕рд┐рдлрд╛рд░рд┐рд╢реЛрдВ рдХреЛ рдХреИрд▓рд┐рдлреЛрд░реНрдирд┐рдпрд╛, рдХрдЬрд╛рдХрд┐рд╕реНрддрд╛рди, рд░реВрд╕ рдФрд░ рдпреВрдХреНрд░реЗрди рдореЗрдВ рд╡рд┐рднрд┐рдиреНрди рдШрдЯрдирд╛рдУрдВ рдХреЗ рд▓рд┐рдП рдПрдВрдЯреЛрди рдореЛрдЗрд╕реЗрд╡, рдпреВрд░реА рдкрдВрдЪреБрд▓, рдпреВрдЬреАрди рдХреЛрд░реЛрдЯрдХреА, рдЕрд▓реЗрдХреНрдЬреЗрдВрдбрд░ рдмрд╛рд░рдмрд╛рдиреЛрд╡ рдФрд░ рдЕрдиреНрдп рдХрдВрдкрдиреА рдЗрдВрдЬреАрдирд┐рдпрд░реЛрдВ рдФрд░ рд╡рд┐рд╢реНрд╡рд╡рд┐рджреНрдпрд╛рд▓рдп рдХреЗ рдкреНрд░реЛрдлреЗрд╕рд░реЛрдВ рджреНрд╡рд╛рд░рд╛ рд╡рд┐рдХрд╕рд┐рдд рдХрд┐рдпрд╛ рдЧрдпрд╛ рд╣реИред





5. рднрд╡рд┐рд╖реНрдп рдХреЗ рдореБрджреНрджреЗ рдХреЗ рд▓рд┐рдП рдпреЛрдЬрдирд╛ 1. рд╢реИрдХреНрд╖рдгрд┐рдХ рд╡рд░реНрд╖ рдХреЗ рд▓рд┐рдП рдмрд┐рдЦрд░реЗ рд╣реБрдП 35 рд╢реИрдХреНрд╖рдгрд┐рдХ рдШрдВрдЯреЗ рдХреЗ рд╡рд╛рд░реНрд╖рд┐рдХ рдкрд╛рдареНрдпрдХреНрд░рдо рдХрд╛ рдкреНрд░рд╛рд░реВрдк, рд╕рдкреНрддрд╛рд╣ рдореЗрдВ рдПрдХ рдШрдВрдЯрд╛

  1. рднреМрддрд┐рдХреА рд╕реЗ рд▓реЗрдХрд░ рдЕрд╕рддрдд рддрддреНрд╡

    1. рд╡рд░реНрддрдорд╛рди, рд╡реЛрд▓реНрдЯреЗрдЬ рдФрд░ рдкреНрд░рддрд┐рд░реЛрдз рдХреНрдпрд╛ рд╣реИред рдмреНрд░реЗрдбрдмреЛрд░реНрдб рдХреИрд╕реЗ рдХрд╛рдо рдХрд░рддрд╛ рд╣реИ? рд╡реНрдпрд╛рдпрд╛рдо: рдПрдХ рдмреИрдЯрд░реА, рдПрд▓рдИрдбреА рдФрд░ рд░реЛрдХрдиреЗрд╡рд╛рд▓рд╛ рдХреЗ рд╕рд╛рде рдкрд╣рд▓рд╛ рд╕рд░реНрдХрд┐рдЯред рдХреНрдпреЛрдВ рдПрдХ рдПрд▓рдИрдбреА рдХреЗ рд╕рд╛рде рдПрдХ рд╕рд░реНрдХрд┐рдЯ рд╕реЗ рдПрдХ рд░реЛрдХрдиреЗрд╡рд╛рд▓рд╛ рдХрдиреЗрдХреНрдЯ рдХрд░реЗрдВред рд░реЛрдХрдиреЗрд╡рд╛рд▓рд╛ рд▓реЗрдмрд▓рд┐рдВрдЧ рдкрдврд╝реЗрдВред рдЪрд░ рдкреНрд░рддрд┐рд░реЛрдзреЛрдВ, рдлреЛрдЯреЛрд░рд┐рд╕реНрдЯрд░реНрд╕ред

    2. рдЯреНрд░рд╛рдВрдЬрд┐рд╕реНрдЯрд░ рдХреНрдпрд╛ рд╣реИ? рд░рд┐рд▓реЗ, рд░реЗрдбрд┐рдпреЛ рдЯреНрдпреВрдм рд╕реЗ рд╕реНрд╡рд┐рдЪрд┐рдВрдЧ рддрддреНрд╡реЛрдВ рдХрд╛ рдЕрд╡рд▓реЛрдХрди, рдЯреНрд░рд╛рдВрдЬрд┐рд╕реНрдЯрд░ рдХреЛ рдорд╛рдЗрдХреНрд░реЛрдХреНрд░рд┐рд╕реНрдХреЗрдЯ рдкрд░ рдЯреНрд░рд╛рдВрдЬрд┐рд╕реНрдЯрд░ рд╕реЗ рдЕрд╕рддрдд рдХрд░рдирд╛ред рдПрдХ thyristor (SCR - рд╕рд┐рд▓рд┐рдХреЙрди рдирд┐рдпрдВрддреНрд░рд┐рдд рдХрд░рдиреЗрд╡рд╛рд▓рд╛ - рдПрдХ рдЯреНрд░рд╛рдВрдЬрд┐рд╕реНрдЯрд░ рд╕реЗ рдЕрдзрд┐рдХ рд╕реНрдкрд╖реНрдЯ рд░реВрдк рд╕реЗ), рджреНрд╡рд┐рдзреНрд░реБрд╡реА pnp рдФрд░ npn рдЯреНрд░рд╛рдВрдЬрд┐рд╕реНрдЯрд░ рдХреЗ рд╕рд╛рде рдЕрднреНрдпрд╛рд╕ред

    3. рд╕рддреНрдпрд╛рдкрди рдХрд╛ рдХрд╛рдоред

  2. рдЕрд╕рддрдд рддрддреНрд╡реЛрдВ рд╕реЗ рд▓реЗрдХрд░ рдЬреБрдЭрд╛рд░реВ рддрд░реНрдХ рддрдХ

    1. рдмреВрд▓рд┐рдпрди рдмреАрдЬрдЧрдгрд┐рдд рдФрд░ рддрд░реНрдХ рдХреА рдмреАрдЬрдЧрдгрд┐рддред рдбреА рдореЙрд░реНрдЧрди рдХрд╛рдиреВрдиреЛрдВ рд╕рд╣рд┐рдд рд╕рдВрдЪрд╛рд▓рди, рдЕрднрд┐рд╡реНрдпрдХреНрддрд┐, рд╕реНрд╡рдпрдВрд╕рд┐рджреНрдз рдФрд░ рдкрд╣рдЪрд╛рдиред рд╕рддреНрдп рд╕рд╛рд░рдгреАред

    2. рд╕рдВрдпреБрдХреНрдд рддрд░реНрдХ рддрддреНрд╡ рдФрд░, рдпрд╛, рдирд╣реАрдВ, рдФрд░-рдирд╣реАрдВ, рдпрд╛-рдирд╣реАрдВ, рд╡рд┐рд╢рд┐рд╖реНрдЯ-рдпрд╛ (рдФрд░, рдпрд╛, рдирд╣реАрдВ, рдирдВрдж, NOR, XOR)ред рдХреИрд╕реЗ рдЯреНрд░рд╛рдВрдЬрд┐рд╕реНрдЯрд░ рд╕реЗ рджрд╣рдирд╢реАрд▓ рддрддреНрд╡ рдмрдирд╛рдП рдЬрд╛рддреЗ рд╣реИрдВред рд╡реНрдпрд╛рдпрд╛рдо: рдЕрд╕рддрдд рддрддреНрд╡реЛрдВ рд╕реЗ рдирд┐рд░реНрдорд╛рдг рдФрд░, рдирдВрдж, рдпрд╛ NORред

    3. рдПрдХреАрдХрд░рдг рдХреЗ рдПрдХ рдЫреЛрдЯреЗ рд╕реЗ рдбрд┐рдЧреНрд░реА рдХреЗ рдорд╛рдЗрдХреНрд░рд┐рдХреЛрдЗрдХреНрд░рд┐рдЯреНрд╕ рдореЗрдВ рддрд╛рд░реНрдХрд┐рдХ рддрддреНрд╡ред рд╡реНрдпрдХреНрддрд┐рдЧрдд рдЕрднреНрдпрд╛рд╕: рдкреНрд░рддреНрдпреЗрдХ рдЫрд╛рддреНрд░ рдХреЛ CMOS 4000 рд╢реНрд░реГрдВрдЦрд▓рд╛ рдХрд╛ рдПрдХ рд╡реНрдпрдХреНрддрд┐рдЧрдд рддрд░реНрдХ рдЪрд┐рдк рджрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИ, рдирд┐рд░реНрдорд╛рддрд╛ рд╕реЗ рдПрдХ рддрдХрдиреАрдХреА рд╡рд┐рд╡рд░рдг рдХреЗ рд╕рд╛рде, рдПрдХ рд╕рддреНрдп рддрд╛рд▓рд┐рдХрд╛ рдмрдирд╛рдиреЗ рдХреЗ рдХрд╛рд░реНрдп рдХреЗ рд╕рд╛рде, рдЕрдкрдиреЗ рдХрд╛рдо рдХрд╛ рдкреНрд░рджрд░реНрд╢рди рдФрд░ рдореМрдЦрд┐рдХ рд░реВрдк рд╕реЗ рдлрд╝рдВрдХреНрд╢рди рдХрд╛ рд╡рд░реНрдгрди рдХрд░рддрд╛ рд╣реИред рдЪрд┐рдкреНрд╕ рдореЗрдВ рд╡рд┐рднрд┐рдиреНрди рддрддреНрд╡реЛрдВ рдХреЗ рд╕рд╛рде рддрд╛рд░реНрдХрд┐рдХ рддрддреНрд╡ рдФрд░ OR, XOR, NOR, NAND рд╢рд╛рдорд┐рд▓ рд╣реИрдВред рдкреБрд▓-рдЕрдк рдкреНрд░рддрд┐рд░реЛрдз рдХреНрдпрд╛ рд╣реИрдВ рдФрд░ рдЙрдирдХреА рдЖрд╡рд╢реНрдпрдХрддрд╛ рдХреНрдпреЛрдВ рд╣реИред рдкрд┐рдЫрд▓реЗ рдЕрднреНрдпрд╛рд╕ рдореЗрдВ рдмрдЯрди рдФрд░ рдкреБрд▓-рдЕрдк рдкреНрд░рддрд┐рд░реЛрдзреЛрдВ рдХреЛ рдЬреЛрдбрд╝реЗрдВред

    4. рд╕рддреНрдпрд╛рдкрди рдХрд╛ рдХрд╛рдоред

  3. рдмрд╛рдЗрдирд░реА рдЕрдВрдХрдЧрдгрд┐рдд рдФрд░ рдЗрд╕рдХреЗ рдХрд╛рд░реНрдпрд╛рдиреНрд╡рдпрди

    1. рдмрд╛рдЗрдирд░реА рдирдВрдмрд░ рдмрд╛рдЗрдирд░реА рд╕реЗ рджрд╢рдорд▓рд╡ рдореЗрдВ рдкрд░рд┐рд╡рд░реНрддрд┐рдд рдХрд░реЗрдВ рдФрд░ рдЗрд╕рдХреЗ рд╡рд┐рдкрд░реАрддред рдЬреЛрдбрд╝ рдФрд░ рдЧреБрдгрд╛ рдСрдкрд░реЗрд╢рдиред рдирдХрд╛рд░рд╛рддреНрдордХ рд╕рдВрдЦреНрдпрд╛ рдФрд░ рдЕрддрд┐рд░рд┐рдХреНрдд рдХреЛрдбред

    2. рддрд░реНрдХ рддрддреНрд╡реЛрдВ рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░рдХреЗ рдПрдХ рдЖрдзрд╛ рдпреЛрдЬрдХ рдФрд░ рдПрдХ рдкреВрд░реНрдг рдпреЛрдЬрдХ рдХрд╛ рдХрд╛рд░реНрдпрд╛рдиреНрд╡рдпрдиред рд╕реНрдерд╛рдирд╛рдВрддрд░рдгред рдЕрдиреБрдХреНрд░рдорд┐рдХ рдХреИрд░реА рдХреЗ рд╕рд╛рде рдорд▓реНрдЯреА-рдмрд┐рдЯ рдпреЛрдЬрдХред рдмреНрд░реЗрдбрдмреЛрд░реНрдб рдкрд░ рд╡реНрдпрд╛рдпрд╛рдо рдХрд░реЗрдВред рд▓рдВрдмреЗ рд╕рдордп рдХреА рджреЗрд░реА рдХрд╛ рдЙрд▓реНрд▓реЗрдЦ рдФрд░ рдЕрдзрд┐рдХ рдЗрд╖реНрдЯрддрдо рджреЗрд░реА рдХреЗ рд╕рд╛рде рдпреЛрдЬрдирд╛рдПрдВред

    3. 4-рдмрд┐рдЯ рдкреВрд░реНрдг рдпреЛрдЬрдХ CMOD 4008 рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░рдирд╛ред рдЗрд╕рдореЗрдВ рд╕реЗ 8-рдмрд┐рдЯ рдпреЛрдЬрдХ рдФрд░ рдШрдЯрд╛рд╡ рдпреЛрдЬрдирд╛ рдХрд╛ рдирд┐рд░реНрдорд╛рдгред рдЙрдиреНрдирдд рдЫрд╛рддреНрд░реЛрдВ рдХреЗ рд▓рд┐рдП рдЧреГрд╣рдХрд╛рд░реНрдп: рддреНрд╡рд░рд┐рдд рд╕рдореВрд╣ рд╣рд╕реНрддрд╛рдВрддрд░рдг рдХреЗ рд╕рд╛рде рддреЗрдЬреА рд╕реЗ рдЬреЛрдбрд╝рдиреЗ рд╡рд╛рд▓реЛрдВ рдХреЗ рдХрд╛рд░реНрдпрд╛рдиреНрд╡рдпрди рдкрд░ рдЕрдзреНрдпрдпрди рдФрд░ рдПрдХ рдкреНрд░рд╕реНрддреБрддрд┐ рдмрдирд╛рдиреЗ рдХреЗ рд▓рд┐рдПред

    4. рд╕рддреНрдпрд╛рдкрди рдХрд╛ рдХрд╛рдоред

  4. рдЕрдиреБрдХреНрд░рдорд┐рдХ рддрд░реНрдХ

    1. рдЪрд┐рдк 555 рдкрд░ рдЖрдзрд╛рд░рд┐рдд рдШрдбрд╝реА рдЬрдирд░реЗрдЯрд░ рдХрд╛ рдирд┐рд░реНрдорд╛рдгред рдЙрдЪреНрдЪ рдФрд░ рдирд┐рдореНрди рд╕реНрддрд░ рдХреА рдЖрд╡реГрддреНрддрд┐ рдФрд░ рдЕрд╡рдзрд┐ рдкрд░ рдХреИрдкреЗрд╕рд┐рдЯрд░ рдФрд░ рдкреНрд░рддрд┐рд░реЛрдз рдХреЗ рдкреНрд░рднрд╛рд╡ рдХрд╛ рдЕрдзреНрдпрдпрди рдХрд░рдирд╛ред

      рдЪреВрдВрдХрд┐ рдХреИрдкреЗрд╕рд┐рдЯрд░ рдХреЛ 555 рд╕реНрдЯреНрд░реИрдкрд┐рдВрдЧ рдореЗрдВ рд╢рд╛рдорд┐рд▓ рдХрд┐рдпрд╛ рдЧрдпрд╛ рд╣реИ, рдЗрд╕рд▓рд┐рдП рдХреИрдкреЗрд╕рд┐рдЯрд░ рдХреНрдпрд╛ рд╣реИрдВ, рдХреНрдпреЛрдВ рдЙрдиреНрд╣реЗрдВ 555 рд╕реНрдЯреНрд░реИрдкрд┐рдВрдЧ рдХреА рдЖрд╡рд╢реНрдпрдХрддрд╛ рд╣реЛрддреА рд╣реИ, рдФрд░ рдЙрдиреНрд╣реЗрдВ рдХреИрд╕реЗ рд▓реЗрдмрд▓ рдХрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИ, рдЗрд╕рдХреЗ рдмрд╛рд░реЗ рдореЗрдВ рдЕрддрд┐рд░рд┐рдХреНрдд рд╕реНрдкрд╖реНрдЯреАрдХрд░рдг рдХреА рдЖрд╡рд╢реНрдпрдХрддрд╛ рд╣реЛ рд╕рдХрддреА рд╣реИред рд╡рд┐рднрд┐рдиреНрди рдХреНрд╖рдорддрд╛рдУрдВ рдХреЗ рдХреИрдкреЗрд╕рд┐рдЯрд░ рдХреЛ рдЪрд╛рд░реНрдЬ рдХрд░рдиреЗ рдФрд░ рдбрд┐рд╕реНрдЪрд╛рд░реНрдЬ рдХрд░рдиреЗ рдХреЗ рд╕рд╛рде рдПрдХ рд╕рд╣рд╛рдпрдХ рдЕрднреНрдпрд╛рд╕ рднреА рдЙрдкрдпреЛрдЧреА рд╣реЛ рд╕рдХрддрд╛ рд╣реИред

    2. рдЖрдВрддрд░рд┐рдХ рдЕрд╡рд╕реНрдерд╛ рд╡рд╛рд▓реА рдпреЛрдЬрдирд╛рдПрдБред рдирдВрдж рджреНрд╡рд╛рд░ рд╕реЗ рдЖрд░рдПрд╕ рдХреБрдВрдбреА рдХрд╛ рдирд┐рд░реНрдорд╛рдг рдХрд░реЗрдВред рд╕реАрдПрдордЬреА 4013 рдЪрд┐рдк рдФрд░ 1 рд╣рд░реНрдЯреНрдЬ рдХреА рдЖрд╡реГрддреНрддрд┐ рдХреЗ рд╕рд╛рде 555 рд╕реЗ рдПрдХ рдШрдбрд╝реА рд╕рдВрдХреЗрдд рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░рддреЗ рд╣реБрдП рдбреА-рдлреНрд▓рд┐рдк-рдлреНрд▓реЙрдк рдХреЗ рд╡реНрдпрд╡рд╣рд╛рд░ рдХрд╛ рдЕрдзреНрдпрдпрдиред

    3. рд╕реАрд░рд┐рдпрд▓ рдмреНрд▓реЙрдХ - рдХрд╛рдЙрдВрдЯрд░ (CMOS 4029) рдФрд░ рд╢рд┐рдлреНрдЯ рд░рдЬрд┐рд╕реНрдЯрд░ (4015)ред рд╕реЗрд╡рди-рд╕реЗрдЧрдореЗрдВрдЯ рдЗрдВрдбрд┐рдХреЗрдЯрд░ рдФрд░ рдЙрд╕рдХрд╛ рдбреНрд░рд╛рдЗрд╡рд░ 4511. рдЗрди рдорд╛рдЗрдХреНрд░реЛрдХреНрд░рд┐рд╕реНрдХреЗрдЯреНрд╕ рдХреЗ рд╕рд╛рде рд╡реНрдпрд╛рдпрд╛рдоред

  5. рдкрд░реАрдХреНрд╖рд╛ред

  6. рдЫреЛрдЯреЗ рдПрдХреАрдХреГрдд рдкрд░рд┐рдкрдереЛрдВ рд╕реЗ FPGAs рддрдХ - рд╕рдВрдпреЛрдЬрди рддрд░реНрдХ

    1. FPGA рдХреА рдЕрд╡рдзрд╛рд░рдгрд╛ (рдкреНрд░реЛрдЧреНрд░рд╛рдореЗрдмрд▓ рд▓реЙрдЬрд┐рдХ рдЗрдВрдЯреАрдЧреНрд░реЗрдЯреЗрдб рд╕рд░реНрдХрд┐рдЯ) - рд░рд┐рдЗрдиреНрдлрд┐рдЧрд░реЗрдмрд▓ рд▓реЙрдЬрд┐рдХ рдПрд▓рд┐рдореЗрдВрдЯреНрд╕ рдХрд╛ рдПрдХ рдореИрдЯреНрд░рд┐рдХреНрд╕ред рд╡реЗрд░рд┐рд▓реЛрдЧ рд╣рд╛рд░реНрдбрд╡реЗрдпрд░ рд╡рд┐рд╡рд░рдг рднрд╛рд╖рд╛, рд╕рдВрд╢реНрд▓реЗрд╖рдг, рд╕реНрдерд╛рди, рдЕрдиреБрд░реЗрдЦрдг рдФрд░ рд╡рд┐рдиреНрдпрд╛рд╕ рдкреНрд░рдХреНрд░рд┐рдпрд╛рдУрдВ рдХреА рдЕрд╡рдзрд╛рд░рдгрд╛ред рд╡реНрдпрд╛рдпрд╛рдо: рдПрдХ рдкреНрд░рд╢рд┐рдХреНрд╖рдг рдмреЛрд░реНрдб рдкрд░ FPGA рд╡рд┐рдиреНрдпрд╛рд╕ рдХреЗ рд╕рд╛рде рд╕рдмрд╕реЗ рд╕рд░рд▓ рд╕рдВрдпреЛрдЬрди рдореЙрдбреНрдпреВрд▓ рдХрд╛ рд╕рдВрд╢реНрд▓реЗрд╖рдгред

    2. рд╡реЗрд░рд┐рд▓реЙрдЧ рд╕реАрдЦрдирд╛ рдЬрд╛рд░реА рд░рдЦрд╛ред рдбреЗрдЯрд╛ рдкреНрд░рдХрд╛рд░, рдЕрднрд┐рд╡реНрдпрдХреНрддрд┐, рдЕрд╕рд╛рдЗрдирдореЗрдВрдЯред рд╣рдореЗрд╢рд╛-рдмреНрд▓реЙрдХ рдФрд░ рдмреБрдирд┐рдпрд╛рджреА рдСрдкрд░реЗрдЯрд░реЛрдВред : , .

    3. Verilog. . : . : .

    4. Verilog . / . . : , Verilog. Icarus Verilog GTKWave .

    5. : , ( ).


  7. Verilog

    1. Verilog, D- . : always-. Icarus Verilog.

    2. : D-, . . : .

    3. . . : .

    4. . ( ) c . : , : , .

    5. . , .

    6. .

  8. : , RISC- , MARS MIPS .

    1. - . : , , , . . . тАФ MARS MIPS. .

    2. , , . : . .

    3. . . . : , . .

    4. .

  9. :

    1. Verilog MIPS , , . , , . . .

    2. 9.1







6. 2.

  1. , .

    1. , . . .

    2. . CMOS 4000, , , . AND, OR, XOR, NOR, NAND . , .

    3. 2 , .


    1. 4- CMOD 4008.
      8- 4-.

    2. -a == ~ a + 1


    1. 555. .

    2. D- CMOS 4013 555 1 .

    3. тАЬ тАЭ CMOS 4015.

    4. (CMOS 4029) 4511.

  2. ( ) тАФ . Verilog, , , . Verilog, , . Always- .

    1. .

    2. , .

    3. Verilog. . Verilog . / . .

    4. : .

    5. , Verilog. Icarus Verilog , GTKWave .

  3. Verilog. Verilog, D- . : always-. Icarus Verilog. .

    1. .

    2. .

    3. .

    4. 2 3 .

  4. . . . ( ) c .

    1. тАЬ тАЭ.

    2. .

    3. .

  5. : . - . MARS MIPS .

    1. : , , , . . . .

    2. , , . .

    3. . . . , . .

  6. : .

    1. Verilog MIPS , , . , , . . .

    2. .







A. Verilog

Geektimes . -.

25 Verilog ( тАФ VHDL), (logic synthesis) , (static timing analysis) , , (place-and-route) .

: , , , , static timing analysis, floorplanning, place-n-route, parasitics extraction .. тАФ GDSII, , . Taiwan Semiconductor Manufacturing Company TSMC.




B. / FPGA

, тАФ :

FPGA , , . AND , тАФ .. тАФ FPGA , , ..

- / FPGA тАФ , ┬л┬╗ ( -) (), ( ) ().

тАФ FPGA, look-up table (LUT) flip-flop. , , .



, FPGA:



:






C. ,

(system on chip, SoC), IP- (CPU, GPU, DSP) тАФ , . ADAS (advanced driver-assistance systems) .

, , , ( 1980- тАФ 1990- ). (hardware description languages тАФ HDL), (register transfer level тАФ RTL), RTL-to-GDSII, Verilog VHDL , FPGA (field-programmable gate arrays). , -, -. HDL RTL , , , , 1980- .


, , ( ).



D. Verilog

module adder
(
    input      a,
    input      b,
    input      carry_in,
    output reg sum,
    output reg carry_out
);

    reg p, q;

    always @*
    begin
        p = a ^ b;
        q = a & b;

        sum       = p ^ carry_in;
        carry_out = q | (p & carry_in);
    end

endmodule



module counter
(
    input             clock,
    input             resetn,

    input             load,
    input      [15:0] load_data,
    output reg [15:0] count
);

    always @ (posedge clock or negedge resetn)
    begin
        if (! resetn)
            count <= 0;
        else if (load)
            count <= load_data;
        else
            count <= count + 1;
    end

endmodule



:



тАФ ┬л , ┬╗.

( , ), . .

Source: https://habr.com/ru/post/hi404387/


All Articles