рдЪрд┐рдкреНрд╕ рдореЗрдВ рдХрд╕реНрдЯрдо рдмреНрд▓реЙрдХ (рд╕рд┐рд▓рд┐рдХреЙрди рдЖрдИрдкреА): рдпрд╣ рдХреИрд╕реЗ рдХрд╛рдо рдХрд░рддрд╛ рд╣реИ

рдШрд░реЗрд▓реВ рдорд╛рдЗрдХреНрд░реЛрдкреНрд░реЛрд╕реЗрд╕рд░реЛрдВ рдХреЗ рд▓рд┐рдП рд╕рдорд░реНрдкрд┐рдд Habr├й рдХреЗ рдкреНрд░рддреНрдпреЗрдХ рд▓реЗрдЦ рдореЗрдВ, рдПрдХ рддрд░рд╣ рд╕реЗ рдпрд╛ рдХрд┐рд╕реА рдЕрдиреНрдп, рд▓рд╛рдЗрд╕реЗрдВрд╕ рдкреНрд░рд╛рдкреНрдд рдЖрдИрдкреА-рдмреНрд▓реЙрдХ рдХрд╛ рдореБрджреНрджрд╛ рдФрд░ рдЙрдирдХреА рдЙрдкрд╕реНрдерд┐рддрд┐ рдФрд░ рдЕрдиреБрдкрд╕реНрдерд┐рддрд┐ рдХреЗ рдореВрд▓реНрдп, рдШрд░реЗрд▓реВрддрд╛ рдпрд╛ рд╡рд┐рдХрд╛рд╕ рдХреА рд╕реБрд░рдХреНрд╖рд╛ рдХреЛ рдХрдо рдХрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИред рдЗрд╕реА рд╕рдордп, рдХрдИ рдЯрд┐рдкреНрдкрдгреАрдХрд╛рд░ рдЪрд░реНрдЪрд╛ рдХреЗ рд╡рд┐рд╖рдп рдХреЛ рдмрд╣реБрдд рдЕрдЪреНрдЫреА рддрд░рд╣ рд╕реЗ рдирд╣реАрдВ рд╕рдордЭрддреЗ рд╣реИрдВ, рдЗрд╕рд▓рд┐рдП рдЖрдЗрдП рдпрд╣ рдкрддрд╛ рд▓рдЧрд╛рдиреЗ рдХреА рдХреЛрд╢рд┐рд╢ рдХрд░реЗрдВ рдХрд┐ рдорд╛рдЗрдХреНрд░реЛрдЗрд▓реЗрдХреНрдЯреНрд░реЙрдирд┐рдХ рдЙрджреНрдпреЛрдЧ рдореЗрдВ рд▓рд╛рдЗрд╕реЗрдВрд╕рд┐рдВрдЧ рдХреИрд╕реЗ рдХрд╛рдо рдХрд░рддрд╛ рд╣реИ, рдЕрдЪреНрдЫреЗ рдФрд░ рдмреБрд░реЗ рд▓рд╛рдЗрд╕реЗрдВрд╕ рд╡рд╛рд▓реЗ рдмреНрд▓реЙрдХ рдХреНрдпрд╛ рд╣реИрдВ, рдФрд░ рдорд╛рдЗрдХреНрд░реЛрдХреНрд░реЗрд╕реАрдЯ рд╡рд┐рдХрд╕рд┐рдд рдХрд░рдиреЗ рдХреА рдкреНрд░рдХреНрд░рд┐рдпрд╛ рдХреНрдпрд╛ рд╣реИ - рдЕрдзрд┐рдХрд╛рдВрд╢ рдмреНрд▓реЙрдХ рдЗрд╕рдореЗрдВ рдЦрд░реАрджреЗ рдЬрд╛рддреЗ рд╣реИрдВред



рдХрд┐рд╕реА рднреА рдЖрдзреБрдирд┐рдХ рдорд╛рдЗрдХреНрд░реЛрдХрд┐рд░рд┐рдЯ рдХреЛ рдХреБрдЫ рд╕рдордп рдкрд╣рд▓реЗ рдЧрд░реНрд╡ рд╕реЗ "рдПрдХ рдЪрд┐рдк рдкрд░ рдПрдХ рдкреНрд░рдгрд╛рд▓реА" рдХрд╣рд╛ рдЬрд╛рддрд╛ рд╣реИ: рдпрд╣рд╛рдВ рддрдХ тАЛтАЛрдХрд┐ рддреАрди-рдкреИрд░ рд╡рд╛рд▓реЗ рд░реИрдЦрд┐рдХ рдирд┐рдпрд╛рдордХреЛрдВ рдФрд░ рд╡реЛрд▓реНрдЯреЗрдЬ рд╕реНрд░реЛрддреЛрдВ рдореЗрдВ рдЙрдкрдпреЛрдЧрдХрд░реНрддрд╛ рд╕реЗ рдЫрд┐рдкрд╛ рд╣реБрдЖ рдПрдХ рдбрд┐рдЬрд┐рдЯрд▓ рд╕рдорд╛рдпреЛрдЬрди рд╣реЛрддрд╛ рд╣реИ, рд╣рдо рдорд╛рдЗрдХреНрд░реЛрдкреНрд░реЛрд╕реЗрд╕рд░реЛрдВ рдФрд░ рдорд╛рдЗрдХреНрд░реЛрдХрдВрдЯреЗрдХрд░реНрд╕ рдХреЗ рдмрд╛рд░реЗ рдореЗрдВ рдХреНрдпрд╛ рдХрд╣ рд╕рдХрддреЗ рд╣реИрдВ рдЬрд┐рд╕рдореЗрдВ рджрд░реНрдЬрдиреЛрдВ рд╡рд┐рднрд┐рдиреНрди рдмреНрд▓реЙрдХ рд╣реЛрддреЗ рд╣реИрдВ, рдкреНрд░рддреНрдпреЗрдХ рдХрд╛ рд╡рд┐рдХрд╛рд╕ рдЬрд┐рдирдореЗрдВ рд╕реЗ рд╡рд┐рд╢рд┐рд╖реНрдЯ рдХреМрд╢рд▓ рдФрд░ рдкреБрд╕реНрддрдХреЛрдВ рдФрд░ рд▓реЗрдЦреЛрдВ рдХреЗ рдмрд╛рд░реЗ рдореЗрдВ рд▓рд┐рдЦреЗ рдЧрдП рдиреБрдХрд╕рд╛рди рдХреЛ рдХрдо рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рд╡реНрдпрд╛рдкрдХ рдЕрдиреБрднрд╡ рдХреА рдЖрд╡рд╢реНрдпрдХрддрд╛ рд╣реЛрддреА рд╣реИред рдЗрд╕рдХреЗ рдЕрд▓рд╛рд╡рд╛, рдХрд┐рд╕реА рднреА рдкрд░рд┐рдпреЛрдЬрдирд╛, рдПрдХ рдирд┐рдпрдо рдХреЗ рд░реВрдк рдореЗрдВ, рдЕрдкрдиреЗ рд╕реНрд╡рдпрдВ рдХреЗ рд╣рддреНрдпрд╛рд░реЗ рдХреА рд╡рд┐рд╢реЗрд╖рддрд╛ рд╣реИ, рдЬрд┐рд╕рдХреЗ рдХрд╛рд░рдг рдбреЗрд╡рд▓рдкрд░реНрд╕ рдХреЛ рдкреНрд░рддрд┐рдпреЛрдЧрд┐рдпреЛрдВ рдкрд░ рдПрдХ рд▓рд╛рдн рдкреНрд░рд╛рдкреНрдд рдХрд░рдиреЗ рдХреА рдЙрдореНрдореАрдж рд╣реИ, рдФрд░ рдпрд╣ рдпрд╣ рд╣рддреНрдпрд╛рд░рд╛ рд╕реБрд╡рд┐рдзрд╛ рд╣реИ рдЬрд┐рд╕реЗ рдЬрд┐рддрдирд╛ рд╕рдВрднрд╡ рд╣реЛ рд╕рдХреЗ рдХрд┐рдпрд╛ рдЬрд╛рдирд╛ рдЪрд╛рд╣рд┐рдП, рдФрд░ рдЕрдиреНрдп рд╕рднреА рдмреНрд▓реЙрдХреЛрдВ рдХреЛ рдмрд╕ рджреВрд╕рд░реЛрдВ рдХреА рддреБрд▓рдирд╛ рдореЗрдВ рдЦрд░рд╛рдм рдирд╣реАрдВ рд╣реЛрдирд╛ рдЪрд╛рд╣рд┐рдПред

рдзреНрдпрд╛рди рджреЗрдВ, рд╕рд╡рд╛рд▓ рдпрд╣ рд╣реИ: рдХреНрдпрд╛ рдПрдХ рдорд╣рдВрдЧреА рд╡рд┐рдХрд╛рд╕ рдЯреАрдо рдХреЛ рдмрдирд╛рдП рд░рдЦрдирд╛ рдЖрд╡рд╢реНрдпрдХ рд╣реИ рдЬреЛ рд╕реНрд╡рддрдВрддреНрд░ рд░реВрдк рд╕реЗ рд╕рднреА рдЖрд╡рд╢реНрдпрдХ рдмреНрд▓реЙрдХреЛрдВ рдХреЛ рдЕрдкрдиреЗ рджрдо рдкрд░ рдмрдирд╛ рд╕рдХрддрд╛ рд╣реИ?

рдПрдХ рдФрд░ рднреА рджрд┐рд▓рдЪрд╕реНрдк рд╕рд╡рд╛рд▓: рдпрджрд┐ рдЖрдкрдиреЗ рд╕реНрд╡рдпрдВ рдПрдХ рдорд╛рдЗрдХреНрд░реЛрдкреНрд░реЛрд╕реЗрд╕рд░ рдЗрдВрд╕реНрдЯреНрд░рдХреНрд╢рди рд╕рд┐рд╕реНрдЯрдо рд╡рд┐рдХрд╕рд┐рдд рдХрд┐рдпрд╛ рд╣реИ, рддреЛ рдХреНрдпрд╛ рдЖрдкрдХреЛ рдПрдХ рдкреНрд░реЛрдЧреНрд░рд╛рдорд░ рдЯреАрдо рдХреЛ рд╢рд╛рдорд┐рд▓ рдХрд░рдиреЗ рдХреА рдЖрд╡рд╢реНрдпрдХрддрд╛ рд╣реИ рдЬреЛ рдЗрд╕рдХреЗ рд▓рд┐рдП рдПрдХ рдХрдВрдкрд╛рдЗрд▓рд░ рд▓рд┐рдЦреЗрдЧрд╛ , рд▓реЛрдХрдкреНрд░рд┐рдп рдСрдкрд░реЗрдЯрд┐рдВрдЧ рд╕рд┐рд╕реНрдЯрдо рдХреА рддрд╛рдЬрд╛ рдЧреБрдард▓реА рдХреЛ рдкреЛрд░реНрдЯ рдХрд░реЗрдЧрд╛ , рдмрд╣реБрдд рд╕рд╛рд░реА рдЕрдиреНрдп рдХрдбрд╝реА рдореЗрд╣рдирдд рдХрд░реЗрдЧрд╛, рдФрд░ рд╕рдмрд╕реЗ рдЕрдзрд┐рдХ рд╕рдВрднрд╛рд╡рдирд╛ рд╣реИ рдХрд┐ рдЖрдкрдХреА рд░рдЪрдирд╛ рдПрдХ рдкрд░ рдирд╣реАрдВ рд╣реЛрдЧреАред рд▓реЛрдХрдкреНрд░рд┐рдп рдЖрд░реНрдХрд┐рдЯреЗрдХреНрдЪрд░ рдХреЗ рд╕рд╛рде рд╕реНрддрд░, рдЬрд┐рд╕ рдкрд░ рдЕрдзрд┐рдХ рд▓реЛрдЧ рдХрд╛рдо рдХрд░рддреЗ рд╣реИрдВ?
рдФрд░ рд╕рдмрд╕реЗ рдорд╣рддреНрд╡рдкреВрд░реНрдг рдмрд╛рдд: рдкрд┐рдЫрд▓реЗ рджреЛ рд╕рд╡рд╛рд▓реЛрдВ рдХреЗ рд╕рдХрд╛рд░рд╛рддреНрдордХ рдЬрд╡рд╛рдм рдЖрдкрдХреЗ рдЙрддреНрдкрд╛рдж рдХреЛ рдкреНрд░рддрд┐рд╕реНрдкрд░реНрдзрд╛рддреНрдордХ рд▓рд╛рдн рджреЗрдВрдЧреЗ?

рдЧреАрддрд╛рддреНрдордХ рд╡рд┐рд╖рдпрд╛рдВрддрд░
5 рдЬреВрди рдХреЛ, рдореЙрд░рд┐рд╕ рдЪрд╛рдВрдЧ рд╕реЗрд╡рд╛рдирд┐рд╡реГрддреНрдд рд╣реБрдП, рдПрдХ рдРрд╕рд╛ рдЖрджрдореА рдЬрд┐рд╕рдХреЗ рдмрд╛рд░реЗ рдореЗрдВ рдЖрдкрдиреЗ рдХрднреА рдирд╣реАрдВ рд╕реБрдирд╛ рд╣реЛрдЧрд╛, рд▓реЗрдХрд┐рди рдЬрд┐рд╕рдХреЗ рдмрд┐рдирд╛ рдХреЛрдИ рдЖрдзреБрдирд┐рдХ рдЗрд▓реЗрдХреНрдЯреНрд░реЙрдирд┐рдХреНрд╕ рдирд╣реАрдВ рд╣реЛрдЧрд╛ред рдореЙрд░рд┐рд╕ рдЪрд╛рдВрдЧ рдХрд╛ рдЬрдиреНрдо рдЪреАрди рдореЗрдВ рд╣реБрдЖ рдерд╛, рдЧреГрд╣рдпреБрджреНрдз рдХреА рдКрдВрдЪрд╛рдИ рдкрд░ рд╡рд╣ рд╕рдВрдпреБрдХреНрдд рд░рд╛рдЬреНрдп рдЕрдореЗрд░рд┐рдХрд╛ рдХреЗ рд▓рд┐рдП рд░рд╡рд╛рдирд╛ рд╣реБрдП, рдПрдордЖрдИрдЯреА рд╕реЗ рд╕реНрдирд╛рддрдХ рдХрд┐рдпрд╛, рдФрд░ рдлрд┐рд░ рдЯреЗрдХреНрд╕рд╛рд╕ рдЗрдВрд╕реНрдЯреНрд░реВрдореЗрдВрдЯреНрд╕ рдФрд░ рдЬрдирд░рд▓ рдЗрдВрд╕реНрдЯреНрд░реВрдореЗрдВрдЯреНрд╕ рдореЗрдВ рдХрдИ рд╡рд░реНрд╖реЛрдВ рддрдХ рдХрд╛рдо рдХрд┐рдпрд╛ред рдЙрди рд╡рд░реНрд╖реЛрдВ рдореЗрдВ, рдХрд┐рд╕реА рднреА рдорд╛рдЗрдХреНрд░реЛрдЗрд▓реЗрдХреНрдЯреНрд░реЛрдирд┐рдХ рдХрдВрдкрдиреА рдХрд╛ рдПрдХ рдЕрднрд┐рдиреНрди рдЕрдВрдЧ рдЗрд╕рдХрд╛ рд╕реНрд╡рдпрдВ рдХрд╛ рдЙрддреНрдкрд╛рджрди рдерд╛, рдЬрд┐рд╕рдХреЗ рд▓рд┐рдП рдЙрдкрдХрд░рдг рдЕрдм рддрдХ рдХреА рддреБрд▓рдирд╛ рдореЗрдВ рд╕рд╕реНрддреЗ рдкрд░рд┐рдорд╛рдг рдХреЗ рдХрдИ рдСрд░реНрдбрд░ рдереЗ, рд▓реЗрдХрд┐рди рдлрд┐рд░ рднреА, рдпрд╣ рдмрд╣реБрдд рдорд╣рдВрдЧрд╛ рдерд╛ред рдЗрд╕ рддрд░рд╣ рд╕реЗ рдЪрд╛рдВрдЧ рдиреЗ рдЦреБрдж рдЗрд╕рдХреЗ рдмрд╛рд░реЗ рдореЗрдВ рд▓рд┐рдЦрд╛ рд╣реИ:
рдЬрдм рдореИрдВ рдЯреАрдЖрдИ рдФрд░ рдЬрдирд░рд▓ рдЗрдВрд╕реНрдЯреНрд░реВрдореЗрдВрдЯ рдкрд░ рдерд╛, рддреЛ рдореИрдВрдиреЗ рдмрд╣реБрдд рд╕рд╛рд░реЗ рдЖрдИрд╕реА рдбрд┐рдЬрд╛рдЗрдирд░реЛрдВ рдХреЛ рдЫреЛрдбрд╝рдиреЗ рдФрд░ рдЕрдкрдирд╛ рдЦреБрдж рдХрд╛ рд╡реНрдпрд╡рд╕рд╛рдп рд╕реНрдерд╛рдкрд┐рдд рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рдЗрдЪреНрдЫреБрдХ рджреЗрдЦрд╛, рд▓реЗрдХрд┐рди рдХреЗрд╡рд▓ рдПрдХ рдЪреАрдЬ, рдпрд╛ рд╕рдмрд╕реЗ рдмрдбрд╝реА рдмрд╛рдд рдЬрд┐рд╕рдиреЗ рдЙрдиреНрд╣реЗрдВ рдЙрди рдХрдВрдкрдирд┐рдпреЛрдВ рдХреЛ рдЫреЛрдбрд╝рдиреЗ рд╕реЗ рд░реЛрдХ рджрд┐рдпрд╛, рд╡рд╣ рдпрд╣ рдерд╛ рдХрд┐ рд╡реЗ рдкрд░реНрдпрд╛рдкреНрдд рдкреИрд╕рд╛ рдирд╣реАрдВ рдЬреБрдЯрд╛ рд╕рдХрддреЗ рдереЗ рдЕрдкрдиреА рдЦреБрдж рдХреА рдХрдВрдкрдиреА рдмрдирд╛рдиреЗ рдХреЗ рд▓рд┐рдПред рдХреНрдпреЛрдВрдХрд┐ рдЙрд╕ рд╕рдордп рдпрд╣ рд╕реЛрдЪрд╛ рдЧрдпрд╛ рдерд╛ рдХрд┐ рдкреНрд░рддреНрдпреЗрдХ рдХрдВрдкрдиреА рдХреЛ рд╡рд┐рдирд┐рд░реНрдорд╛рдг рдХреА рдЖрд╡рд╢реНрдпрдХрддрд╛ рд╣реИ, рд╡реЗрдлрд░ рд╡рд┐рдирд┐рд░реНрдорд╛рдг рдХреА рдЖрд╡рд╢реНрдпрдХрддрд╛ рд╣реИ, рдФрд░ рд╡рд╣ рдПрдХ рдЖрдИрд╕реА рдХрдВрдкрдиреА рдХреЗ рд╕реЗрдореАрдХрдВрдбрдХреНрдЯрд░ рдХрдВрдкрдиреА рдХрд╛ рд╕рдмрд╕реЗ рдкреВрдВрдЬреА рдЧрд╣рди рд╣рд┐рд╕реНрд╕рд╛ рдерд╛ред рдФрд░ рдореИрдВрдиреЗ рдЙрди рд╕рднреА рд▓реЛрдЧреЛрдВ рдХреЛ рдЫреЛрдбрд╝рдиреЗ рдХреЗ рд▓рд┐рдП рдЗрдЪреНрдЫреБрдХ рджреЗрдЦрд╛, рд▓реЗрдХрд┐рди рд╡реЗрдлрд░ рдлреИрдм рдХреЗ рдирд┐рд░реНрдорд╛рдг рдХреЗ рд▓рд┐рдП рдмрд╣реБрдд рд╕рд╛рд░реЗ рдкреИрд╕реЗ рдЬреБрдЯрд╛рдиреЗ рдХреА рдХреНрд╖рдорддрд╛ рдХреА рдХрдореА рд╕реЗ рд░реЛрдХрд╛ рдЬрд╛ рд░рд╣рд╛ рдерд╛ред
1985 рдореЗрдВ, рдореЙрд░рд┐рд╕ рдЪрд╛рдВрдЧ рд╕реЗрд╡рд╛рдирд┐рд╡реГрддреНрдд рд╣реЛрдиреЗ рд╡рд╛рд▓реЗ рдереЗ, рд▓реЗрдХрд┐рди рдЙрдиреНрд╣реЛрдВрдиреЗ рдФрджреНрдпреЛрдЧрд┐рдХ рдкреНрд░реМрджреНрдпреЛрдЧрд┐рдХреА рдЕрдиреБрд╕рдВрдзрд╛рди рд╕рдВрд╕реНрдерд╛рди рдореЗрдВ рдХрд╛рдо рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рддрд╛рдЗрд╡рд╛рди рд╕рд░рдХрд╛рд░ рдХреА рдкреЗрд╢рдХрд╢ рдХреЛ рд╕реНрд╡реАрдХрд╛рд░ рдХрд░ рд▓рд┐рдпрд╛, рдПрдХ рд╢реЛрдз рд╕рдВрд╕реНрдерд╛рди рдЬреЛ рддрд╛рдЗрд╡рд╛рди рдореЗрдВ рдПрдХ рдФрджреНрдпреЛрдЧрд┐рдХ рдХреНрд░рд╛рдВрддрд┐ рд▓рд╛рдиреЗ рдФрд░ рд╡рд┐рдХрд╕рд┐рдд рдЕрд░реНрдерд╡реНрдпрд╡рд╕реНрдерд╛ рдФрд░ рд╡рд┐рдХрд╕рд┐рдд рджреЗрд╢реЛрдВ рдХреЗ рдмреАрдЪ рдЕрдВрддрд░ рдХреЛ рдмрдВрдж рдХрд░рдиреЗ рдореЗрдВ рдорджрдж рдХрд░рдиреЗ рд╡рд╛рд▓рд╛ рдерд╛ред 1987 рдореЗрдВ, ITRI рдореЗрдВ рдЕрдкрдиреЗ рдХрд╛рдо рдХреЗ рд╕рдорд╛рдирд╛рдВрддрд░, рдЪрд╛рдВрдЧ рдиреЗ TSMC рдмрдирд╛рдИ, рдЬреЛ рджреБрдирд┐рдпрд╛ рдХреА рд╕рдмрд╕реЗ рдмрдбрд╝реА рдПрдХреАрдХреГрдд рд╕рд░реНрдХрд┐рдЯ рдирд┐рд░реНрдорд╛рддрд╛ рдХрдВрдкрдиреА рдмрди рдЧрдИред рдЯреАрдПрд╕рдПрдорд╕реА рд╡реНрдпрд╡рд╕рд╛рдп рдореЙрдбрд▓ рдореВрд▓ рд░реВрдк рд╕реЗ рдЗрд╕ рддрдереНрдп рдкрд░ рдЖрдзрд╛рд░рд┐рдд рдерд╛ рдХрд┐ рдХрдВрдкрдиреА рдХреБрдЫ рднреА рд╡рд┐рдХрд╕рд┐рдд рдирд╣реАрдВ рдХрд░рддреА рд╣реИ, рд▓реЗрдХрд┐рди рдХреЗрд╡рд▓ рдЕрдиреНрдп рд▓реЛрдЧреЛрдВ рдХреЗ рд╡рд┐рдХрд╛рд╕ рдХрд╛ рдЙрддреНрдкрд╛рджрди рдХрд░рддреА рд╣реИ, рдФрд░ рдпрд╣ рдорд╛рдЗрдХреНрд░реЛрдмрд┐рдЪреНрдпреБрдЯреНрд╕ рдХреЗ рд╡рд┐рдХрд╛рд╕ рдФрд░ рдЙрддреНрдкрд╛рджрди рдХреЗ рд▓рд┐рдП рдПрдХ рдЖрдзреБрдирд┐рдХ рдкрд╛рд░рд┐рд╕реНрдерд┐рддрд┐рдХреА рддрдВрддреНрд░ рдмрдирд╛рдиреЗ рдореЗрдВ рдкрд╣рд▓рд╛ рдХрджрдо рдерд╛, рдЬрд┐рд╕реЗ рдлреИрдмрд░реА рдореЙрдбрд▓ рдХреЗ рд░реВрдк рдореЗрдВ рдЬрд╛рдирд╛ рдЬрд╛рддрд╛ рд╣реИред
рдЧреЗрдп рд╡рд┐рд╖рдпрд╛рдВрддрд░ рдХрд╛ рдЕрдВрдд

рдлреИрдмрд▓реЗрд╕ рдХреНрдпрд╛ рд╣реИ?


рдлреИрдмрд▓реЗрд╕ рдорд╛рдЗрдХреНрд░реЛрдХреНрд░рд┐рд╕реНрдХреЗрдЯреНрд╕ рдХреЗ рд╡рд┐рдХрд╛рд╕ рдФрд░ рдЙрддреНрдкрд╛рджрди рдХреЗ рд▓рд┐рдП рдПрдХ рдореЙрдбрд▓ рд╣реИ рдЬрд┐рд╕рдореЗрдВ рдбреЗрд╡рд▓рдкрд░ рдХрдВрдкрдиреА рдХрд╛ рдЕрдкрдирд╛ рдЙрддреНрдкрд╛рджрди рдирд╣реАрдВ рд╣реИ рдФрд░ рддреАрд╕рд░реЗ рдкрдХреНрд╖ рдХреЗ рдХрд╛рд░рдЦрд╛рдиреЗ рдХреА рд╕реЗрд╡рд╛рдУрдВ рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░рддрд╛ рд╣реИ рдЬреЛ рдЕрдиреНрдп рдбреЗрд╡рд▓рдкрд░реНрд╕ рдХреЗ рд╕рд╛рде рднреА рд╕рд╣рдпреЛрдЧ рдХрд░рддрд╛ рд╣реИ (рдФрд░ рдХрднреА-рдХрднреА рдЗрд╕рдХреЗ рдЕрдкрдиреЗ рдЙрддреНрдкрд╛рдж рднреА рд╣реЛрддреЗ рд╣реИрдВ)ред

рддреАрд╕рд░реЗ рдкрдХреНрд╖ рдХреЗ рдХрд╛рд░рдЦрд╛рдиреЛрдВ рдХреА рдЙрдкрд╕реНрдерд┐рддрд┐ рдорд╛рдЗрдХреНрд░реЛрдЗрд▓реЗрдХреНрдЯреНрд░реЙрдирд┐рдХ рдмрд╛рдЬрд╛рд░ рдореЗрдВ рдкреНрд░рд╡реЗрд╢ рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рджрд╣рд▓реАрдЬ рдХреЛ рдХрдо рдХрд░ рд╕рдХрддреА рд╣реИ (рдЬреЛ рдХрд┐ рдореЙрд░рд┐рд╕ рдЪрд╛рдВрдЧ рдиреЗ рдПрдХ рд╕рдордп рдореЗрдВ рд╕рдкрдирд╛ рджреЗрдЦрд╛ рдерд╛), рдЙрддреНрдкрд╛рджрди рдХреЗ рд▓рд┐рдП рдЙрдкрдХрд░рдг рдЦрд░реАрджрдиреЗ рдФрд░ рдмрдирд╛рдП рд░рдЦрдиреЗ рдХреА рдЖрд╡рд╢реНрдпрдХрддрд╛ рдХреЗ рдЕрднрд╛рд╡ рдХреЗ рдХрд╛рд░рдг, рд╕реИрдХрдбрд╝реЛрдВ рд╕реЗ рд▓рд╛рдЦреЛрдВ рдбреЙрд▓рд░ рддрдХред рдпрд╣ рдЖрдкрдХреЛ рдЬрдЯрд┐рд▓ рдХрд╛рд░реНрдпрд╛рддреНрдордХ рдмреНрд▓реЙрдХреЛрдВ рдХреЗ рдЖрдкреВрд░реНрддрд┐рдХрд░реНрддрд╛рдУрдВ рдХреЗ рд▓рд┐рдП рдПрдХ рдмрд╛рдЬрд╛рд░ рдХреЗ рдЧрдарди рдХреЗ рдХрд╛рд░рдг рдЗрд╕ рд╕реАрдорд╛ рдХреЛ рдФрд░ рднреА рдХрдо рдХрд░рдиреЗ рдХреА рдЕрдиреБрдорддрд┐ рджреЗрддрд╛ рд╣реИ (рд╡реЗ рднреА рд╕рд┐рд▓рд┐рдХреЙрди рдЖрдИрдкреА рд╣реИрдВ)ред рдпрджрд┐ рдЖрдк рдЕрдкрдиреЗ рдХрд╛рд░рдЦрд╛рдиреЗ рдореЗрдВ рдЪрд┐рдкреНрд╕ рдХрд╛ рдЙрддреНрдкрд╛рджрди рдХрд░рддреЗ рд╣реИрдВ, рддреЛ рдЖрдк рдХреЗрд╡рд▓ рдЕрдкрдиреА рдЦреБрдж рдХреА рддрд╛рдХрдд рдкрд░ рднрд░реЛрд╕рд╛ рдХрд░ рд╕рдХрддреЗ рд╣реИрдВ рдФрд░ рдЗрд╕рдореЗрдВ рдПрдХ рдЯреАрдо рд╣реЛрдиреА рдЪрд╛рд╣рд┐рдП рдЬреЛ рд╕рднреА рдЖрд╡рд╢реНрдпрдХ рдмреНрд▓реЙрдХ рдмрдирд╛ рд╕рдХрддреА рд╣реИред рдпрджрд┐ рдХрд╛рд░рдЦрд╛рдирд╛ рди рдХреЗрд╡рд▓ рдЖрдкрдХреЗ рджреНрд╡рд╛рд░рд╛ рдЙрдкрдпреЛрдЧ рдХрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИ, рдмрд▓реНрдХрд┐ рдЕрдиреНрдп рдХрдВрдкрдирд┐рдпреЛрдВ рджреНрд╡рд╛рд░рд╛ рднреА рдЗрд╕реНрддреЗрдорд╛рд▓ рдХрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИ, рддреЛ рдХрд┐рд╕реА рдиреЗ рдЖрдкрдХреЗ рд╕рд╛рдордиреЗ рдкрд╣рд▓реЗ рд╕реЗ рд╣реА рдЕрдзрд┐рдХрд╛рдВрд╢ рд╡рд┐рд╢рд┐рд╖реНрдЯ рдХрд╛рд░реНрдпреЛрдВ рдХреЛ рд╣рд▓ рдХрд░ рд▓рд┐рдпрд╛ рд╣реИ, рдФрд░ рдЖрдк рдХрд┐рд╕реА рдФрд░ рдХреЗ рдХрд╛рдо рдХреЗ рдкрд░рд┐рдгрд╛рдореЛрдВ рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░ рд╕рдХрддреЗ рд╣реИрдВ - рдпрд╛ рдХрд┐рд╕реА рдФрд░ рдХреЗ рд▓рд┐рдП рдЕрдкрдиреЗ рд╕реНрд╡рдпрдВ рдХреЗ рд╕рдорд╛рдзрд╛рди рдХреЛ рдлрд┐рд░ рд╕реЗ рдмреЗрдЪрдирд╛ рдХрд░ рд╕рдХрддреЗ рд╣реИрдВ, рдкрд╣рд▓реЗ рдХреАрдордд рдФрд░ рд╢рд░реНрддреЛрдВ рдкрд░ рд╕рд╣рдордд рд╣реБрдП рддрд╛рдХрд┐ рд╣рд╛рд░реЗ рд╣реБрдП рдореЗрдВ рдХреЛрдИ рдирд╣реАрдВ рд░рд╣рд╛ред рдмреЗрд╢рдХ, рдЖрдк рдЕрдкрдиреЗ рдкреНрд░рддрд┐рджреНрд╡рдВрджреНрд╡рд┐рдпреЛрдВ рдХреЛ рдкреНрд░рддреНрдпрдХреНрд╖ рдЬрд╛рдирдиреЗ рдХреА рдмрд┐рдХреНрд░реА рдХрд░рдиреЗ рдХреА рд╕рдВрднрд╛рд╡рдирд╛ рдирд╣реАрдВ рд░рдЦрддреЗ рд╣реИрдВ, рд▓реЗрдХрд┐рди рдРрд╕реА рдмрд╣реБрдд рд╕реА рд╕реНрдерд┐рддрд┐рдпрд╛рдВ рд╣реИрдВ, рдЬрд╣рд╛рдВ рдЖрдк рдмреНрдпрд╛рдЬ рдХреЗ рд╕рдВрдШрд░реНрд╖ рдореЗрдВ рд╢рд╛рдорд┐рд▓ рд╣реБрдП рдмрд┐рдирд╛ рдХрдорд╛ рд╕рдХрддреЗ рд╣реИрдВред рдпрд╣ рдЖрдИрдкреА-рдмреНрд▓реЙрдХ рдмрд╛рдЬрд╛рд░ рдореЗрдВ рдЙрднрд░реА рд╡рд┐рд╢рд┐рд╖реНрдЯ рд╕рдорд╕реНрдпрд╛рдУрдВ рдХреЛ рд╕реБрд▓рдЭрд╛рдиреЗ рдХреЗ рдкрд░рд┐рдгрд╛рдореЛрдВ рдХреЛ рдлрд┐рд░ рд╕реЗ рдЙрдкрдпреЛрдЧ рдХрд░рдиреЗ рдХреА рд╕рдВрднрд╛рд╡рдирд╛ рдФрд░ рдЗрдЪреНрдЫрд╛ рд╕реЗ рдмрд╛рд╣рд░ рдерд╛, рдЬрд┐рд╕рдХрд╛ рдЖрдХрд╛рд░ 2017 рддрдХ рдЪрд╛рд░ рдмрд┐рд▓рд┐рдпрди рдбреЙрд▓рд░ рдХреЗ рдХрд░реАрдм рдерд╛ рдФрд░ рддреЗрдЬреА рд╕реЗ рдмрдврд╝ рд░рд╣рд╛ рд╣реИред

рдпрд╣ рдХреИрд╕реЗ рд▓рд╛рднрджрд╛рдпрдХ рд╣реИ?


рдХреНрд░реЗрддрд╛ рдЖрдИрдкреА - рдореБрдЦреНрдп рд░реВрдк рд╕реЗ рдмрдЪрддред рд╡рд┐рднрд┐рдиреНрди рдЕрдиреБрдорд╛рдиреЛрдВ рдХреЗ рдЕрдиреБрд╕рд╛рд░, рдЖрдИрдкреА-рдмреНрд▓реЙрдХ рдХреА рдЦрд░реАрдж рдЖрдорддреМрд░ рдкрд░ рдЖрддреНрдо-рд╡рд┐рдХрд╛рд╕ рдХреА рддреБрд▓рдирд╛ рдореЗрдВ рджреЛ рд╕реЗ рдкрд╛рдВрдЪ рдЧреБрдирд╛ рд╕рд╕реНрддреА рд╣реИред рдЗрд╕рдХреЗ рдЕрд▓рд╛рд╡рд╛, рдЦрд░реАрджрд╛рд░реА рдЖрдорддреМрд░ рдкрд░ рдЖрдкрдХреЛ рд╡рд┐рдХрд╛рд╕ рдХреЗ рд╕рдордп рдХреЛ рдХрдо рдХрд░рдиреЗ рдХреА рдЕрдиреБрдорддрд┐ рджреЗрддреА рд╣реИ, рдХреНрдпреЛрдВрдХрд┐ рдЖрдкрдХреЛ рд╕реНрд╡рддрдВрддреНрд░ рд░реВрдк рд╕реЗ рдкрд░реАрдХреНрд╖рдг рдЪрд┐рдкреНрд╕ рдХрд╛ рдЙрддреНрдкрд╛рджрди рдХрд░рдиреЗ рдФрд░ рддреНрд░реБрдЯрд┐рдпреЛрдВ рдХреЛ рдареАрдХ рдХрд░рдиреЗ рдХреА рдЖрд╡рд╢реНрдпрдХрддрд╛ рдирд╣реАрдВ рд╣реИред

рдЖрдИрдкреА тАЛтАЛрдбреЗрд╡рд▓рдкрд░ рдХреЗ рд▓рд┐рдП - рдПрдХ рдЖрд▓рд╛ рд╕рдорд╛рдзрд╛рди рдкрд░ рдХрд╛рдо рдХрд░рдиреЗ рд╡рд╛рд▓реЗ рд╡реНрдпрд╡рд╕рд╛рдп рдХрд╛ рдирд┐рд░реНрдорд╛рдг рдХрд░рдиреЗ рдФрд░ рд╡реНрдпрд╛рдкрд╛рд░ рдХрд░рдиреЗ рдХреА рд▓рд╛рдЧрдд рдХреЛ рдХрд╛рдлреА рдХрдо рдХрд░рдиреЗ рдХрд╛ рдЕрд╡рд╕рд░ред рдорд╛рдЗрдХреНрд░реЛрдХрд┐рд░рд┐рдЯ рдХреА рд▓рд╛рдЧрдд рдХрд╛ рдПрдХ рдорд╣рддреНрд╡рдкреВрд░реНрдг рд╣рд┐рд╕реНрд╕рд╛ рд╡рд┐рдкрдгрди, рдкреИрдХреЗрдЬрд┐рдВрдЧ, рдкрд░реАрдХреНрд╖рдг, рддрдХрдиреАрдХреА рд╕рд╣рд╛рдпрддрд╛, рдПрдкреНрд▓рд┐рдХреЗрд╢рди рд╕реЙрдлрд╝реНрдЯрд╡реЗрдпрд░ рдФрд░ рдЕрдВрдд рдореЗрдВ рдмрдбрд╝реЗ рдкреИрдорд╛рдиреЗ рдкрд░ рдЙрддреНрдкрд╛рджрди рдХреЗ рд▓рд┐рдП рдлреЛрдЯреЛ рдЯреЗрдореНрдкрд▓реЗрдЯ рдЖрджрд┐ рдХреА рд▓рд╛рдЧрдд рд╣реИред рдЖрджрд┐ рдпрджрд┐ рдЖрдк рддреИрдпрд╛рд░ рдЙрддреНрдкрд╛рджреЛрдВ рдХрд╛ рдЙрддреНрдкрд╛рджрди рдирд╣реАрдВ рдХрд░рддреЗ рд╣реИрдВ, рд▓реЗрдХрд┐рди рдХреЗрд╡рд▓ рдмреНрд▓реЙрдХ рдмреЗрдЪрддреЗ рд╣реИрдВ, рддреЛ рдЖрдкрдХреЗ рдкрд╛рд╕ рдпреЗ рд╕рднреА рдЦрд░реНрдЪ рдирд╣реАрдВ рд╣реИрдВред

рдлреИрдХреНрдЯрд░реА - рдЕрдзрд┐рдХ рдЧреНрд░рд╛рд╣рдХреЛрдВ рдХреЛ рдЖрдХрд░реНрд╖рд┐рдд рдХрд░рдиреЗ рдХрд╛ рдЕрд╡рд╕рд░ред рдмрдбрд╝реЗ рдХрд╛рд░рдЦрд╛рдиреЗ рдЕрдкрдиреЗ рдЪрд╛рд░реЛрдВ рдУрд░ рдЖрдИрдкреА рдмреНрд▓реЙрдХ рдЖрдкреВрд░реНрддрд┐рдХрд░реНрддрд╛рдУрдВ рдХрд╛ рдПрдХ рдкрд╛рд░рд┐рд╕реНрдерд┐рддрд┐рдХреА рддрдВрддреНрд░ рдмрдирд╛рдиреЗ рдХрд╛ рдкреНрд░рдпрд╛рд╕ рдХрд░ рд░рд╣реЗ рд╣реИрдВ, рдХреНрдпреЛрдВрдХрд┐ рдЙрдирдХреЗ рдЙрдкрдпреЛрдЧ рд╕реЗ рдбрд┐рдЬрд╛рдЗрди рдореЗрдВ рдХрд╛рдлреА рддреЗрдЬреА рдЖ рд╕рдХрддреА рд╣реИ рдФрд░, рдЬрд┐рддрдирд╛ рдЬрд░реВрд░реА рд╣реЛ, рдЙрддрдирд╛ рд╣реА рдЗрд╕реЗ рд╕реНрд╡рдЪрд╛рд▓рд┐рдд рдХрд░реЗрдВред рддреИрдпрд╛рд░ рдХрд┐рдП рдЧрдП рдШрдЯрдХреЛрдВ рдХрд╛ рдПрдХ рд╕рдореГрджреНрдз рдкреЛрд░реНрдЯрдлреЛрд▓рд┐рдпреЛ рдЕрдВрддрд┐рдо рдЙрддреНрдкрд╛рдж рдХреЗ рдбрд┐рдЬрд╛рдЗрди рдореЗрдВ рдПрдХ рдмрдбрд╝реА рдорджрдж рд╣реИ, рдХреНрдпреЛрдВрдХрд┐ рдпрд╣ рдЖрдкрдХреЛ рдХреЗрд╡рд▓ рд╡рд╣реА рдХрд░рдиреЗ рдХреА рдЕрдиреБрдорддрд┐ рджреЗрддрд╛ рд╣реИ рдЬреЛ рдЖрдкрдХреЗ рдмрд╛рд░реЗ рдореЗрдВ рдЬрд╛рдирддрд╛ рд╣реИ рдФрд░ рд╕рд╛рдорд╛рдиреНрдп рд░реВрдк рд╕реЗ рд╕рдм рдХреБрдЫ рд╡рд┐рдХрд╕рд┐рдд рдХрд░рдиреЗ рдкрд░ рдХреАрдорддреА рд╕рдВрд╕рд╛рдзрди рдЦрд░реНрдЪ рдирд╣реАрдВ рдХрд░рддрд╛ рд╣реИ - рд╡рд┐рд╢реЗрд╖ рд░реВрдк рд╕реЗ рдРрд╕реА рд╕реНрдерд┐рддрд┐ рдореЗрдВ рдЬрд╣рд╛рдВ рдкрд╣рд▓реЗ рд╕реЗ рд╣реА рд▓реЛрдЧреЛрдВ рджреНрд╡рд╛рд░рд╛ рд╡рд┐рдХрд╕рд┐рдд рдПрдХ рддреИрдпрд╛рд░-рдирд┐рд░реНрдорд┐рдд рд╕рдорд╛рдзрд╛рди рд╣реИред рдЬреЛ рдЖрдкрдХреЗ рд╡рд┐рдХрд╛рд╕ рдореЗрдВ рдЕрдзрд┐рдХ рд╕рдордп рдФрд░ рдЕрдиреБрднрд╡ рдХрд╛ рдирд┐рд╡реЗрд╢ рдХрд░ рд╕рдХрддрд╛ рд╣реИ, рдЬреЛ рдЖрдк рдЦрд░реНрдЪ рдХрд░ рд╕рдХрддреЗ рд╣реИрдВред рддрджрдиреБрд╕рд╛рд░, рдЖрдкрдХреА рдкрд░рд┐рдпреЛрдЬрдирд╛ рдХреЗ рдЙрддреНрдкрд╛рджрди рдХреЗ рд▓рд┐рдП рдХрд┐рд╕реА рд╡рд┐рд╢реЗрд╖ рдХрд╛рд░рдЦрд╛рдиреЗ рдХреЛ рдЪреБрдирдиреЗ рдХреЗ рд▓рд┐рдП рддреИрдпрд╛рд░ рдЖрдИрдкреА рдХрд╛ рдПрдХ рд╡рд┐рд╕реНрддреГрдд рдЪрдпрди рдПрдХ рдЧрдВрднреАрд░ рдХрд╛рд░рдХ рд╣реЛ рд╕рдХрддрд╛ рд╣реИред

рдпрд╣ рдХреИрд╕реЗ рдХрд╛рдо рдХрд░рддрд╛ рд╣реИ?


рдЪрд▓реЛ рдПрдХ рдЪрд┐рдк рдкрд░ рдПрдХ рдЧреЛрд▓рд╛рдХрд╛рд░ рдХрд╕реНрдЯрдо рдкреНрд░рдгрд╛рд▓реА рдХреЗ рдЙрджрд╛рд╣рд░рдг рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░рдХреЗ рдХреЛрд░ рдХреЗ рд▓рд╛рдЗрд╕реЗрдВрд╕рд┐рдВрдЧ рдХреЛ рджреЗрдЦреЗрдВред рдкреНрд░рдгрд╛рд▓реА рдореЗрдВ рдПрдХ рдкреНрд░реЛрд╕реЗрд╕рд░ рдХреЛрд░, рдХреЛрд░ рдФрд░ рдмрд╛рд╣реНрдп рдЙрдкрдХрд░рдгреЛрдВ рдХреЗ рд╕рдВрдпреЛрдЬрди рдХреЗ рд▓рд┐рдП рдмрд╕реЗрдВ, рдХреИрд╢ рдореЗрдореЛрд░реА рдХреА рдПрдХ рдирд┐рд╢реНрдЪрд┐рдд рдорд╛рддреНрд░рд╛, рдмрд╛рд╣рд░реА рджреБрдирд┐рдпрд╛ (рдЬреИрд╕реЗ CAN рдФрд░ I2C), PLL, DAC рдФрд░ ADC рдХреЗ рд╕рд╛рде рд╕рдВрдЪрд╛рд░ рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рдЗрдВрдЯрд░рдлреЗрд╕ рд╣реЛрдЧрд╛ред рдкреНрд░рдпреЛрдЧ рдХреА рд╢реБрджреНрдзрддрд╛ рдХреЗ рд▓рд┐рдП, рдорд╛рди рд▓реЗрдВ рдХрд┐ рд╕рдм рдХреБрдЫ рд╕реНрд╡рддрдВрддреНрд░ рд╡рд┐рдХрд╛рд╕ рдХреЗ рдмрд┐рдирд╛, рдмрд┐рд▓реНрдХреБрд▓ рдЦрд░реАрджрд╛ рдЬрд╛рдПрдЧрд╛ред "рдореВрд░реНрдЦрддрд╛рдкреВрд░реНрдг рдзрд╛рд░рдгрд╛," рдЖрдк рдХрд╣рддреЗ рд╣реИрдВ, рдФрд░ рдЖрдк рдмрд┐рд▓реНрдХреБрд▓ рд╕рд╣реА рдирд╣реАрдВ рд╣реЛрдВрдЧреЗред рд╡рд╛рд╕реНрддрд╡ рдореЗрдВ, рдирд┐рд╢реНрдЪрд┐рдд рд░реВрдк рд╕реЗ, рдРрд╕реА рдкрд░рд┐рд╕реНрдерд┐рддрд┐рдпрд╛рдВ рдЕрддреНрдпрдВрдд рджреБрд░реНрд▓рдн рд╣реИрдВ, рд▓реЗрдХрд┐рди рдпрд╣ рдХрд▓реНрдкрдирд╛ рдХрд░рдирд╛ рдЕрднреА рднреА рдХрд╛рдлреА рд╕рдВрднрд╡ рд╣реИ рдХрд┐ рдЙрддреНрдкрд╛рдж рдХреА рд╣рддреНрдпрд╛рд░рд╛ рд╕реБрд╡рд┐рдзрд╛ рдЕрдкрдиреЗ рдЖрдк рдореЗрдВ рдХреБрдЫ рдмреНрд▓реЙрдХ рдирд╣реАрдВ рд╣реЛрдЧреА, рд▓реЗрдХрд┐рди рдореМрдЬреВрджрд╛ рдмреНрд▓реЙрдХреЛрдВ рдХрд╛ рдПрдХ рд╡рд┐рд╢рд┐рд╖реНрдЯ рд╕рдВрдпреЛрдЬрдиред рдЙрджрд╛рд╣рд░рдг рдХреЗ рд▓рд┐рдП, рдЖрдк рдПрдХ рдЦрдВрдб рдХреЗ рдкрд╣рд▓реЗ рдЦрд░реАрджрд╛рд░ рд╣реИрдВ (рдЗрд╕рд▓рд┐рдП, рдкреНрд░рддрд┐рдпреЛрдЧрд┐рдпреЛрдВ рдХреЗ рдкрд╛рд╕ рдкрд╣рд▓реЗ рд╕реЗ рд╣реА рдирд╣реАрдВ рд╣реИ) рдпрд╛ рдЖрдк рдЗрд╕рдХреЗ рд▓рд┐рдП рдПрдХ рдЦрдВрдб рдореЗрдВ рдЙрдкрдпреЛрдЧ рдХреЗ рд▓рд┐рдП рдореМрдЬреВрджрд╛ рдмреНрд▓реЙрдХ рдХреЗ рд╕рд╛рде рдЖрдП рдереЗ (рдЗрд╕рд▓рд┐рдП, рдЗрд╕ рдЦрдВрдб рдореЗрдВ рдкреНрд░рддрд┐рдпреЛрдЧрд┐рдпреЛрдВ рдХреЗ рдкрд╛рд╕ рдХреБрдЫ рднреА рд╕рдорд╛рди рдирд╣реАрдВ рд╣реИ)ред

рддреЛ, рдЪрд▓рд┐рдП рд╢реБрд░реВ рдХрд░рддреЗ рд╣реИрдВ ...


... рдирд╣реАрдВ, рдкреНрд░реЛрд╕реЗрд╕рд░ рдХреЛрд░ рд╕реЗ рдирд╣реАрдВ, рдмрд▓реНрдХрд┐ рдПрдирд╛рд▓реЙрдЧ рдмрд╛рдЗрдВрдбрд┐рдВрдЧ рд╕реЗред рдЙрджрд╛рд╣рд░рдг рдХреЗ рд▓рд┐рдП, рдПрдирд╛рд▓реЙрдЧ-рдЯреВ-рдбрд┐рдЬрд┐рдЯрд▓ рдХрдирд╡рд░реНрдЯрд░ (рдПрдбреАрд╕реА) - рдЕрдзрд┐рдХрд╛рдВрд╢ рдорд╛рдЗрдХреНрд░реЛрдХрдВрдЯреНрд░реЛрд▓рд░ рдХреА рдПрдХ рд╡рд┐рд╢рд┐рд╖реНрдЯ рдЗрдХрд╛рдИ рдФрд░ рдХрд┐рд╕реА рднреА рдЕрдиреНрдп рд╕рд┐рд╕реНрдЯрдо рдХреЛ рд▓реЗрдВ рдЬреЛ рдмрд╛рд╣рд░реА рджреБрдирд┐рдпрд╛ рдХреЗ рдбреЗрдЯрд╛ рд╕реЗ рдирд┐рдкрдЯрддреЗ рд╣реИрдВред

рдПрдбреАрд╕реА рдПрдХ рдПрдирд╛рд▓реЙрдЧ рдмреНрд▓реЙрдХ рд╣реИ, рдЬрд┐рд╕рдХрд╛ рдЕрд░реНрде рд╣реИ рдХрд┐ рдЗрд╕рдХреЗ рдкреИрд░рд╛рдореАрдЯрд░ рдЧрдВрднреАрд░рддрд╛ рд╕реЗ рди рдХреЗрд╡рд▓ рдЗрд╕рдХреА рд╕рд░реНрдХрд┐рдЯреНрд░реА рдкрд░ рдирд┐рд░реНрднрд░ рдХрд░рддреЗ рд╣реИрдВ, рдмрд▓реНрдХрд┐ рдпрд╣ рднреА рдХрд┐ рдпрд╣ рд╕рд░реНрдХрд┐рдЯрд░реА рдПрдХ рдЪрд┐рдк рдкрд░ рдХреИрд╕реЗ рд▓рд╛рдЧреВ рд╣реЛрддреА рд╣реИред рдпрджрд┐ рд╣рдо рддреИрдпрд╛рд░ рдлрд╝рд╛рдЗрд▓ рдХреЛ рдПрдХ рддрдХрдиреАрдХреА рдкреНрд░рдХреНрд░рд┐рдпрд╛ рд╕реЗ рдЯреЛрдкреЛрд▓реЙрдЬреА рдХреЗ рд╕рд╛рде рд▓реЗрддреЗ рд╣реИрдВ рдФрд░ рдЗрд╕реЗ рдЙрд╕реА рдбрд┐рдЬрд╛рдЗрди рдорд╛рдирдХреЛрдВ рдХреЗ рд╕рд╛рде рдХрд┐рд╕реА рдЕрдиреНрдп рдХрд╛рд░рдЦрд╛рдиреЗ рдореЗрдВ рдЙрддреНрдкрд╛рджрд┐рдд рдХрд░рддреЗ рд╣реИрдВ, рддреЛ рд╣рдо рдкреВрд░реА рддрд░рд╣ рд╕реЗ рдЕрд▓рдЧ рдкреИрд░рд╛рдореАрдЯрд░ (рдЕрдиреНрдп рдбрд┐рдЬрд╛рдЗрди рдорд╛рдирдХреЛрдВ рдХреЛ рд╣рд╕реНрддрд╛рдВрддрд░рдг рдХрд╛ рдЙрд▓реНрд▓реЗрдЦ рдирд╣реАрдВ рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП) рдкреНрд░рд╛рдкреНрдд рдХрд░ рд╕рдХрддреЗ рд╣реИрдВред рд╣рд╛рд▓рд╛рдБрдХрд┐, "рджреВрд╕рд░реА рдЖрдкреВрд░реНрддрд┐рдХрд░реНрддрд╛рдУрдВ" рдХреА рд╕рдВрд╕реНрдХреГрддрд┐, рдЬрд┐рд╕реЗ рд╕рджреА рдХреЗ рдордзреНрдп рд╕реЗ рдЬрд╛рдирд╛ рдЬрд╛рддрд╛ рд╣реИ, рдЕрднреА рднреА рдореМрдЬреВрдж рд╣реИ; рдЙрджрд╛рд╣рд░рдг рдХреЗ рд▓рд┐рдП, рдЯреЙрд╡рд░рдЬреИрдЬ рдлреИрдХреНрдЯреНрд░реА рдХреБрдЫ рдЯреАрдПрд╕рдПрдорд╕реА рд╡рд┐рдирд┐рд░реНрдорд╛рдг рдкреНрд░рдХреНрд░рд┐рдпрд╛рдУрдВ рдХреА рдкреНрд░рддрд┐рдпрд╛рдВ рдкреНрд░рджрд╛рди рдХрд░рддреА рд╣реИред

рдПрдбреАрд╕реА рд╣рд╛рд░реНрдб рдЖрдИрдкреА рдмреНрд▓реЙрдХ рдХрд╛ рдПрдХ рд╢рд╛рдирджрд╛рд░ рдЙрджрд╛рд╣рд░рдг рд╣реИ рдЬреЛ рдПрдХ рд╡рд┐рд╢рд┐рд╖реНрдЯ рдкреНрд░рдХреНрд░рд┐рдпрд╛ рд╕реЗ рдЬреБрдбрд╝рд╛ рд╣реБрдЖ рд╣реИред рдРрд╕реА рдЗрдХрд╛рдИ рдХреЛ рдЦрд░реАрджрдиреЗ рдХреЗ рд▓рд┐рдП, рдЖрдкрдХреЛ рдпрд╣ рдЕрд╡рд╢реНрдп рдкрддрд╛ рд╣реЛрдирд╛ рдЪрд╛рд╣рд┐рдП рдХрд┐ рдЖрдкрдХреЗ рдЕрдВрддрд┐рдо рдЙрддреНрдкрд╛рдж рдХрд╛ рдирд┐рд░реНрдорд╛рдг рдХрд┐рд╕ рддрдХрдиреАрдХ рдкрд░ рдХрд┐рдпрд╛ рдЬрд╛рдПрдЧрд╛, рдФрд░, рдЬреИрд╕рд╛ рдХрд┐ рдКрдкрд░ рдмрддрд╛рдпрд╛ рдЧрдпрд╛ рд╣реИ, рдХрд╛рд░рдЦрд╛рдиреЗ рдХреЗ рд▓рд┐рдП рдЖрд╡рд╢реНрдпрдХ рд╣рд╛рд░реНрдб рдЖрдИрдкреА рдХреА рдЙрдкрд▓рдмреНрдзрддрд╛ рдПрдХ рдЧрдВрднреАрд░ рдкреНрд░рддрд┐рд╕реНрдкрд░реНрдзреА рд▓рд╛рдн рд╣реЛ рд╕рдХрддреА рд╣реИред

рд╣рд╛рд░реНрдб рдЖрдИрдкреА рдХреИрд╕реЗ рджрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИ? рдпрд╣ рдПрдХ рджрд┐рд▓рдЪрд╕реНрдк рд╕рд╡рд╛рд▓ рд╣реИ, рдФрд░ рдЗрд╕рдХрд╛ рдЬрд╡рд╛рдм рджреЗрдиреЗ рдХреЗ рд▓рд┐рдП рдпрд╣ рдЪрд░реНрдЪрд╛ рдХрд░рдирд╛ рдЖрд╡рд╢реНрдпрдХ рд╣реИ рдХрд┐ рдЗрд╕ рддрд░рд╣ рдХреЗ рдмреНрд▓реЙрдХ рдХреЛ рдХрд┐рд╕ рд░реВрдк рдореЗрдВ рд╡рд┐рдХрд╕рд┐рдд рдХрд┐рдпрд╛ рдЬрд╛ рд░рд╣рд╛ рд╣реИред рдЕрдзрд┐рдХрд╛рдВрд╢ рдПрдирд╛рд▓реЙрдЧ рдмреНрд▓реЙрдХреЛрдВ рдХреЗ рдорд╛рдорд▓реЗ рдореЗрдВ, рд╕рд░реНрдХрд┐рдЯрд░реА рдХрд╛ рд╡рд┐рдХрд╛рд╕ рд╡реНрдпрдХреНрддрд┐рдЧрдд рдЯреНрд░рд╛рдВрдЬрд┐рд╕реНрдЯрд░ рдХреЗ рд╕реНрддрд░ рдкрд░ рдХрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИ, рдЕрд░реНрдерд╛рддреН, рдЖрдЙрдЯрдкреБрдЯ рдлрд╛рдЗрд▓реЗрдВ рдЗрд▓реЗрдХреНрдЯреНрд░рд┐рдХ рд╕рд░реНрдХрд┐рдЯ рдлрд╝рд╛рдЗрд▓ рдФрд░ рдЯреЛрдкреЛрд▓реЙрдЬреА рдХреЗ рд╕рд╛рде рдлрд╛рдЗрд▓ рд╣реЛрдЧреАред рдЗрд╕рдХреЗ рдЕрд▓рд╛рд╡рд╛, рдпреЛрдЬрдирд╛ рдФрд░ рдЯреЛрдкреЛрд▓реЙрдЬреА рджреЛрдиреЛрдВ рдХреА рд╡рд┐рд╢реЗрд╖рддрд╛рдУрдВ рдореЗрдВ рдЧрдВрднреАрд░ рд╡реНрдпрд╛рд╡рд╕рд╛рдпрд┐рдХ рдореВрд▓реНрдп рд╣реЛ рд╕рдХрддреЗ рд╣реИрдВ, рдЬреЛ рдирд┐рд╢реНрдЪрд┐рдд рд░реВрдк рд╕реЗ, рдореИрдВ рдкрдХреНрд╖ рдХреЛ рдирд╣реАрдВ рджреЗрдирд╛ рдЪрд╛рд╣рддрд╛ рд╣реВрдВред рдФрд░ рдХреНрд▓рд╛рдЗрдВрдЯ рдХреЗ рд▓рд┐рдП рдЯреЛрдкреЛрд▓реЙрдЬреА рджреНрд╡рд╛рд░рд╛ рд╕реНрдХреАрдо рдХреЛ рд░рд┐рд╡рд░реНрд╕ рдХрд░рдиреЗ рдореЗрдВ рд╕рдХреНрд╖рдо рдирд╣реАрдВ рд╣реЛрдиреЗ рдХреЗ рд▓рд┐рдП, рдЯреЛрдкреЛрд▓реЙрдЬреА рдЖрдорддреМрд░ рдкрд░ рднреА рдкреНрд░реЗрд╖рд┐рдд рдирд╣реАрдВ рд╣реЛрддреА рд╣реИ, рдЗрд╕рд▓рд┐рдП, рд╕рд╛рдорд╛рдиреНрдп рд╕реНрдерд┐рддрд┐ рдореЗрдВ, рд╡рд┐рддрд░рд┐рдд рдлрд╛рдЗрд▓реЗрдВ рдЗрд╕ рддрд░рд╣ рджрд┐рдЦрддреА рд╣реИрдВ:

  1. рдПрдХ рд╡рд┐рджреНрдпреБрдд рд╕рд░реНрдХрд┐рдЯ рдХреЗ рдмрдЬрд╛рдп, рдХреНрд▓рд╛рдЗрдВрдЯ рдХреЛ рдПрдХ рдЙрдЪреНрдЪ-рд╕реНрддрд░реАрдп рд╡реНрдпрд╡рд╣рд╛рд░ рдореЙрдбрд▓ рдкреНрд░рд╛рдкреНрдд рд╣реЛрддрд╛ рд╣реИ рдЬреЛ рдХрд╛рд░реНрдпрд╛рдиреНрд╡рдпрди рд╕реБрд╡рд┐рдзрд╛рдУрдВ рдХрд╛ рдЦреБрд▓рд╛рд╕рд╛ рдирд╣реАрдВ рдХрд░рддрд╛ рд╣реИред рдЗрд╕ рддрд░рд╣ рдХреЗ рдореЙрдбрд▓ рдХреЛ рд╡реЗрд░рд┐рд▓реЛрдЧ-рдП рдореЗрдВ рд▓рд┐рдЦрд╛ рдЬрд╛ рд╕рдХрддрд╛ рд╣реИред рдПрдХ рд╡рд┐рдХрд▓реНрдк рдпрд╣ рд╣реИ рдХрд┐ рдХреБрдЫ CAD рд╕рд┐рд╕реНрдЯрдо (рдЙрджрд╛рд╣рд░рдг рдХреЗ рд▓рд┐рдП, Cadence Virtuoso) рдЗрд▓реЗрдХреНрдЯреНрд░рд┐рдХрд▓ рд╕рд░реНрдХрд┐рдЯ рдХреЗ рдПрдиреНрдХреНрд░рд┐рдкреНрд╢рди рдХрд╛ рд╕рдорд░реНрдерди рдХрд░рддреЗ рд╣реИрдВ, рдЕрд░реНрдерд╛рдд, рдХреНрд▓рд╛рдЗрдВрдЯ рдЖрдкрдХреЗ рд╕рд░реНрдХрд┐рдЯ (рдпрд╛ рд╡реЗрд░рд┐рд▓реЙрдЧ-рдП рдлрд╝рд╛рдЗрд▓) рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░рдиреЗ рдореЗрдВ рд╕рдХреНрд╖рдо рд╣реЛрдЧрд╛, рд▓реЗрдХрд┐рди рд╕рд╛рдордЧреНрд░реА рдХреЛ рдЦреЛрд▓рдиреЗ рдФрд░ рджреЗрдЦрдиреЗ рдореЗрдВ рд╕рдХреНрд╖рдо рдирд╣реАрдВ рд╣реЛрдЧрд╛ред
  2. рдЯреЛрдкреЛрд▓реЙрдЬреА рдХреЗ рдЖрдпрд╛рдореА рд▓реЗрдЖрдЙрдЯ, рдмрд╛рд╣рд░реА рдирд┐рд╖реНрдХрд░реНрд╖реЛрдВ рдХреЗ рд╕рд╛рде рдФрд░ рдХрд┐рддрдиреЗ рдзрд╛рддреБрд░реВрдк рд╕реНрддрд░ рдПрдХ рдмреНрд▓реЙрдХ рдореЗрдВ рд░рд╣рддреЗ рд╣реИрдВ, рдЗрд╕рдХрд╛ рд╡рд░реНрдгрдиред
  3. рдореБрдЦреНрдп рдорд╛рдкрджрдВрдбреЛрдВ рдХреЗ рд╕рддреНрдпрд╛рдкрди рдХреЗ рд▓рд┐рдП рдкрд░реНрдпрд╛рд╡рд░рдг рдкрд░реАрдХреНрд╖рдгред
  4. рдкреНрд░рд▓реЗрдЦрдиред

рдЗрд╕ рдорд╛рдорд▓реЗ рдореЗрдВ, рдЬрд╝рд╛рд╣рд┐рд░ рд╣реИ, рддреАрд╕рд░реЗ рдкрдХреНрд╖ рдХреА рднрд╛рдЧреАрджрд╛рд░реА рдЖрд╡рд╢реНрдпрдХ рд╣реИ, рдЬрд┐рд╕рд╕реЗ рдЖрдк рдкреНрд░рддрд┐рдпреЛрдЧрд┐рддрд╛ рдФрд░ рд░рд┐рд╡рд░реНрд╕ рдЗрдВрдЬреАрдирд┐рдпрд░рд┐рдВрдЧ рдХреЗ рдбрд░ рдХреЗ рдмрд┐рдирд╛ рдЯреЛрдкреЛрд▓реЙрдЬреА рдХреЛ рд╕реНрдерд╛рдирд╛рдВрддрд░рд┐рдд рдХрд░ рд╕рдХрддреЗ рд╣реИрдВред рдпрд╣ рддреАрд╕рд░рд╛ рдкрдХреНрд╖ рдХрд╛рд░рдЦрд╛рдирд╛ рд╣реИ, рдФрд░ рдЧреНрд░рд╛рд╣рдХреЛрдВ рдФрд░ рдареЗрдХреЗрджрд╛рд░реЛрдВ рдХреЗ рдмреАрдЪ рдЗрд╕рдХреА рдордзреНрдпрд╕реНрде рднреВрдорд┐рдХрд╛, рдлреИрдмрд▓реЗрд╕ рдЪрд┐рдк рдбреЗрд╡рд▓рдкрдореЗрдВрдЯ рдЗрдХреЛрд╕рд┐рд╕реНрдЯрдо рдХрд╛ рдПрдХ рдорд╣рддреНрд╡рдкреВрд░реНрдг рд╣рд┐рд╕реНрд╕рд╛ рд╣реИред рдмреЗрд╢рдХ, рдХрд╛рд░рдЦрд╛рдиреЗ рдореЗрдВ рдПрдХ рдХреНрд░рд┐рд╕реНрдЯрд▓ рд╕реНрдкрд╖реНрдЯ рдкреНрд░рддрд┐рд╖реНрдард╛ рд╣реЛрдиреА рдЪрд╛рд╣рд┐рдП (рдпрд╣ рдмреБрдХрдорд╛рд░реНрдХ рдХреЗ рдмрд╛рд░реЗ рдореЗрдВ рд╣реИ) рдФрд░, рдЖрджрд░реНрд╢ рд░реВрдк рд╕реЗ, рдЗрд╕реЗ рдЕрдкрдиреЗ рджрдо рдкрд░ рдХреБрдЫ рднреА рд╕рдорд╛рди рдЙрддреНрдкрд╛рджрди рдирд╣реАрдВ рдХрд░рдирд╛ рдЪрд╛рд╣рд┐рдП, рдХреНрдпреЛрдВрдХрд┐ рдкреНрд░рддрд┐рд╕реНрдкрд░реНрдзреА рдХрдВрдкрдиреА рдХреЗ рдХрд╛рд░рдЦрд╛рдиреЗ рдореЗрдВ рдХрд╛рдо рдХрд░рдирд╛ рдХрд┐рд╕реА рднреА рдорд╛рдорд▓реЗ рдореЗрдВ рд╕рдВрджрд┐рдЧреНрдз рдЦреБрд╢реА рд╣реИред рд╕реИрдорд╕рдВрдЧ рдЕрдкрдиреЗ рдФрд░ рдПрдкреНрдкрд▓ рдХреЗ рд▓рд┐рдП рдПрдХ рд╣реА рд╕рдордп рдореЗрдВ рдкреНрд░реЛрд╕реЗрд╕рд░ рдХрд╛ рдЙрддреНрдкрд╛рджрди рдХрд░рдиреЗ рдореЗрдВ рд╕рдлрд▓ рд╣реЛрддрд╛ рд╣реИ, рд▓реЗрдХрд┐рди рдЕрдиреНрдп рдмрдбрд╝реЗ рдХрд╛рд░рдЦрд╛рдиреЗ рдЖрдорддреМрд░ рдкрд░ рдпрд╛ рддреЛ рдЕрдкрдиреЗ рд╕реНрд╡рдпрдВ рдХреЗ рдЙрддреНрдкрд╛рджрди (рдЬреИрд╕реЗ рдЗрдВрдЯреЗрд▓) рдкрд░ рдзреНрдпрд╛рди рдХреЗрдВрджреНрд░рд┐рдд рдХрд░рддреЗ рд╣реИрдВ, рдпрд╛ рдкреВрд░реА рддрд░рд╣ рд╕реЗ рдЖрддреНрдо-рд╡рд┐рдХрд╛рд╕ (рдЬреИрд╕реЗ рдЯреАрдПрд╕рдПрдорд╕реА) рдХреЛ рдЫреЛрдбрд╝ рджреЗрддреЗ рд╣реИрдВред рд╡реИрд╕реЗ, рд╣рд┐рддреЛрдВ рдХреЗ рдЗрд╕ рдЯрдХрд░рд╛рд╡ рд╕реЗ рдЫреБрдЯрдХрд╛рд░рд╛ рдкрд╛рдиреЗ рдХреА рдЗрдЪреНрдЫрд╛, рдПрдПрдордбреА рд╕реЗ Globalfoundries (рдХрд╛рд░рдЦрд╛рдирд╛ рдмрд╛рдЬрд╛рд░ рдореЗрдВ рджреВрд╕рд░рд╛ рд╕рдмрд╕реЗ рдмрдбрд╝рд╛ рдЦрд┐рд▓рд╛рдбрд╝реА) рдЖрд╡рдВрдЯрд┐рдд рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рдбреНрд░рд╛рдЗрд╡рд░реЛрдВ рдореЗрдВ рд╕реЗ рдПрдХ рдереАред

рдЧреАрддрд╛рддреНрдордХ рд╡рд┐рд╖рдпрд╛рдВрддрд░
рд╡реИрд╕реЗ, рд░реВрд╕ рдореЗрдВ, рд░реВрд╕ рдореЗрдВ рд╢реБрджреНрдз-рдЦреЗрд▓ рдХрд╛рд░рдЦрд╛рдиреЗ рдирд╣реАрдВ рд╣реИрдВред рдЗрд╕рдХреЗ рдЕрд▓рд╛рд╡рд╛, рдкреВрд░реА рддрд░рд╣ рд╕реЗ рд╕рднреА рдмрд╛рдЬрд╛рд░ рдХреЗ рдЦрд┐рд▓рд╛рдбрд╝рд┐рдпреЛрдВ рдХреЗ рд▓рд┐рдП, рдЕрдкрдиреЗ рд╕реНрд╡рдпрдВ рдХреЗ рдЙрддреНрдкрд╛рджреЛрдВ рдХрд╛ рдЙрддреНрдкрд╛рджрди рдПрдХ рдкреНрд░рд╛рдердорд┐рдХрддрд╛ рд╣реИ, рдФрд░ рдкреВрд░реА рддрд░рд╣ рд╕реЗ рдШрд░реЗрд▓реВ рдбреЗрд╡рд▓рдкрд░реНрд╕ рджреНрд╡рд╛рд░рд╛ рдЙрддреНрдкрд╛рджрд┐рдд рд╕рднреА рдкреВрд░реА рддрд░рд╣ рд╕реЗ рдШрд░реЗрд▓реВ рдЪрд┐рдкреНрд╕ рдЙрдирдХреЗ рд╕рдВрднрд╛рд╡рд┐рдд рдкреНрд░рддрд┐рдпреЛрдЧрд┐рдпреЛрдВ рдХреА рд╕реБрд╡рд┐рдзрд╛рдУрдВ рдкрд░ рдирд┐рд░реНрдорд┐рдд рд╣реЛрддреЗ рд╣реИрдВ - рдпрд╛ рд╡рд┐рджреЗрд╢реЛрдВ рдореЗрдВ рд╢реБрджреНрдз-рдкреНрд▓реЗ рдХрд╛рд░рдЦрд╛рдиреЛрдВ рдореЗрдВред
рдЧреЗрдп рд╡рд┐рд╖рдпрд╛рдВрддрд░ рдХрд╛ рдЕрдВрдд

рдЗрд╕рдХреЗ рдЕрд▓рд╛рд╡рд╛, рдЖрдкреВрд░реНрддрд┐рдХрд░реНрддрд╛-рдлреИрдХреНрдЯреНрд░реА рдЗрдВрдЯрд░реИрдХреНрд╢рди рдХрд╛ рдПрдХ рдФрд░ рдорд╣рддреНрд╡рдкреВрд░реНрдг рд╡рд┐рд╡рд░рдг рд╣реИ: рдлрд╝реИрдХреНрдЯрд░реА рдЖрдкрдХреЗ рдЕрдкрдиреЗ рдбреЗрдЯрд╛рдмреЗрд╕ рдореЗрдВ рдЖрдкрдХреЗ рдЖрдИрдкреА рдмреНрд▓реЙрдХ рдореЗрдВ рдкреНрд░рд╡реЗрд╢ рдХрд░рддреА рд╣реИ рдФрд░ рдЖрдкрдХреА рдЯреЛрдкреЛрд▓реЙрдЬреА рдХреА рдЙрдкрд╕реНрдерд┐рддрд┐ рдХреЗ рд▓рд┐рдП рдЖрдиреЗ рд╡рд╛рд▓реА рд╕рднреА рдкрд░рд┐рдпреЛрдЬрдирд╛рдУрдВ рдХреА рдЬрд╛рдВрдЪ рдХрд░рддреА рд╣реИ (рдЬреЛ рдХрд┐ рдХреЛрдИ рд╡реНрдпрдХреНрддрд┐ рдЖрдкрд╕реЗ рдФрд░ рд╕рд╛рде рд╣реА рдЕрд╡реИрдз рд░реВрдк рд╕реЗ рдкреНрд░рд╛рдкреНрдд рдХрд░ рд╕рдХрддрд╛ рд╣реИ), рдФрд░ рдЙрддреНрдкрд╛рджрди рдХреЗ рд▓рд┐рдП рдЕрдиреБрд░реЛрдз рдХрд░рдиреЗ рд╡рд╛рд▓реЗ рд╡реНрдпрдХреНрддрд┐ рдХреЗ рдкрд╛рд╕ рд▓рд╛рдЗрд╕реЗрдВрд╕ рд╣реИ (рдЪреВрдВрдХрд┐ рдХрдИ рд╕рд╕реНрддреЗ рд▓рд╛рдЗрд╕реЗрдВрд╕ рдХреЗрд╡рд▓ рдПрдХ рдкрд░рд┐рдпреЛрдЬрдирд╛ рдореЗрдВ рдпреВрдирд┐рдЯ рдХреЗ рдЙрдкрдпреЛрдЧ рдХрд╛ рдорддрд▓рдм рд╣реИ)ред рдХрд╛рд░рдЦрд╛рдиреЗ рджреНрд╡рд╛рд░рд╛ рдПрдХ рдЪреЗрдХ рдХреА рдЙрдкрд╕реНрдерд┐рддрд┐ рд╣рд╛рд░реНрдб рдЖрдИрдкреА рдбреЗрд╡рд▓рдкрд░ рдХреЛ рдХрд┐рд╕реА рднреА рд╕реБрд╡рд┐рдзрд╛рдЬрдирдХ рд▓рд╛рдЗрд╕реЗрдВрд╕рд┐рдВрдЧ рд╡рд┐рдХрд▓реНрдк рдХрд╛ рдЪрдпрди рдХрд░рдиреЗ рдХреА рдЕрдиреБрдорддрд┐ рджреЗрддреА рд╣реИ - рдкрд░рд┐рдпреЛрдЬрдирд╛рдУрдВ рдХреА рд╕рдВрдЦреНрдпрд╛, рдмреЗрдЪреА рдЧрдИ рдЪрд┐рдкреНрд╕ рдХреА рд╕рдВрдЦреНрдпрд╛, рдЙрддреНрдкрд╛рджрди рдореЗрдВ рдЪрд┐рдкреНрд╕ рд▓реЙрдиреНрдЪ рдХрд░рдиреЗ рдХрд╛ рд╕рдордп рдЖрджрд┐ред рдЖрджрд┐ рд▓реЗрдХрд┐рди рдЕрдЧрд▓реЗ рднрд╛рдЧ рдореЗрдВ рд▓рд╛рдЗрд╕реЗрдВрд╕ рдХреЗ рдкреНрд░рдХрд╛рд░реЛрдВ рдХреЗ рдмрд╛рд░реЗ рдореЗрдВ рдЕрдзрд┐рдХред

рдЪрд┐рдк рдкрд░ рдПрдХ рд╡рд┐рд╢рд┐рд╖реНрдЯ рдкреНрд░рдгрд╛рд▓реА рдореЗрдВ рд╣рд╛рд░реНрдб рдЖрдИрдкреА рдХреА рддрд░рд╣, рдЖрдкрдХреЛ рдПрдбреАрд╕реА, рдкреАрдПрд▓рдПрд▓, рдЖрдИ / рдУ рдЗрдВрдЯрд░рдлреЗрд╕ рдХреА рднреМрддрд┐рдХ рдкрд░рддреЛрдВ рдХреА рдЖрд╡рд╢реНрдпрдХрддрд╛ рд╣реЛрдЧреАред



рдПрдирд╛рд▓реЙрдЧ рд╣рд╛рд░реНрдб рдЖрдИрдкреА рдмреНрд▓реЙрдХ рдХреА рдЯреЛрдкреЛрд▓реЙрдЬреА рдХреЗ рд╕рд╛рде рд╕реАрдПрдбреА рд╡рд┐рдВрдбреЛ рддрд╛рд▓ рдкреБрдгреНрдпрд╕реЛ рд▓реЗрдЖрдЙрдЯ рд╕реБрдЗрдЯ (рдпрд╣ рдкреАрдПрд▓рдПрд▓ рд╣реИ, рдЕрдЧрд░ рдХрд┐рд╕реА рдХреЛ рджрд┐рд▓рдЪрд╕реНрдкреА рд╣реИ)ред рд╡реНрдпрдХреНрддрд┐рдЧрдд рд╕рдмрдпреВрдирд┐рдЯреНрд╕ рдХреЗ рдмреАрдЪ рдХреА рд╕реАрдорд╛рдПрдВ (рдЬреЛ рдЖрдорддреМрд░ рдкрд░ рд╡рд┐рднрд┐рдиреНрди рд▓реЛрдЧреЛрдВ рджреНрд╡рд╛рд░рд╛ рдмрдирд╛рдИ рдЬрд╛рддреА рд╣реИрдВ) рдФрд░, рдЙрджрд╛рд╣рд░рдг рдХреЗ рд▓рд┐рдП, рдПрдХреАрдХреГрдд рд╕рд░реНрдХрд┐рдЯ рдХреЗ рд▓рд┐рдП рдмрд╣реБрдд рджреБрд░реНрд▓рдн рдПрдХ рддрддреНрд╡ - рдирд┐рдЪрд▓реЗ рджрд╛рдПрдВ рдХреЛрдиреЗ рдореЗрдВ рдкреНрд░рд╛рд░рдВрдн рдХрд░рдиреЗрд╡рд╛рд▓рд╛, рд╕реНрдкрд╖реНрдЯ рд░реВрдк рд╕реЗ рджрд┐рдЦрд╛рдИ рджреЗрддрд╛ рд╣реИред рдФрд░ рд╕рдмрд╕реЗ рдКрдкрд░ рдмрд╛рдИрдВ рдУрд░ рдПрдХ рдбрд┐рдЬрд┐рдЯрд▓ рдмреНрд▓реЙрдХ (рд╕рдВрднрд╡рдд: рдирд┐рдпрдВрддреНрд░рдг) рд╣реИ рдФрд░ рдмрд╛рдХреА рд╣рд┐рд╕реНрд╕реЛрдВ рдореЗрдВ рдЗрд╕рдХрд╛ рдорд▓реНрдЯреА-рдмрд┐рдЯ рдЗрдВрдЯрд░рдлреЗрд╕ рд╣реИред



рдпрд╣ рд╕рдорд╛рди рд╣реИ, рд▓реЗрдХрд┐рди рдкрд╣рд▓реЗ рд╕реЗ рд╣реА рддреИрдпрд╛рд░ рдЪрд┐рдк рдХреЗ рд░реВрдк рдореЗрдВ (рдЙрджрд╛рд╣рд░рдг рдХреЗ рд▓рд┐рдП, рдкрд░реАрдХреНрд╖рдг рдХреЗ рд▓рд┐рдП)ред рдореИрдВ / рдУ рдкреИрдб рдФрд░ рдЙрдиреНрд╣реЗрдВ рдЬрдореАрди рдФрд░ рдЖрдкреВрд░реНрддрд┐ рд╕реЗ рдЬреЛрдбрд╝рдиреЗ рд╡рд╛рд▓реЗ рдЫрд▓реНрд▓реЗ рд╕реНрдкрд╖реНрдЯ рд░реВрдк рд╕реЗ рджрд┐рдЦрд╛рдИ рджреЗрддреЗ рд╣реИрдВред

"рд╣рд╛рд░реНрдб рдЖрдИрдкреА" рд╢рдмреНрдж рдХрд╛ рдкреНрд░рдпреЛрдЧ рдПрдлрдкреАрдЬреАрдП рдХреЗ рд▓рд┐рдП рдЖрдИрдкреА рдмреНрд▓реЙрдХ рдХреЗ рд╕рдВрдмрдВрдз рдореЗрдВ рднреА рдХрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИред рдЗрд╕ рдорд╛рдорд▓реЗ рдореЗрдВ, рдпрд╣ рд╕рдордЭрд╛ рдЬрд╛рддрд╛ рд╣реИ рдХрд┐ рдмреНрд▓реЙрдХ рдХреЛрдб рдХреЛ рдПрдХ рд╡рд┐рд╢реЗрд╖ FPGA рдореЙрдбрд▓ рдореЗрдВ рдЙрдкрдпреЛрдЧ рдХреЗ рд▓рд┐рдП рдЕрдиреБрдХреВрд▓рд┐рдд рдХрд┐рдпрд╛ рдЧрдпрд╛ рд╣реИ рдФрд░ рдЗрд╕рдореЗрдВ рдкреНрд▓реЗрд╕рдореЗрдВрдЯ рдХреЗ рд▓рд┐рдП рд╕рдВрд╢реНрд▓реЗрд╖рд┐рдд рдХрд┐рдпрд╛ рдЧрдпрд╛ рд╣реИред

рдирд░рдо рдЖрдИрдкреА


рдЕрдЧрд▓рд╛ рдмреНрд▓реЙрдХ рдЬрд┐рд╕ рдкрд░ рд╣рдо рд╡рд┐рдЪрд╛рд░ рдХрд░реЗрдВрдЧреЗ, рд╡рд╣ рдкреНрд░реЛрд╕реЗрд╕рд░ рдХреЛрд░ рд╣реИред рд╡рд╛рд╕реНрддрд╡ рдореЗрдВ, рд▓рдЧрднрдЧ рдХреЛрдИ рднреА рдбрд┐рдЬрд┐рдЯрд▓ рд╕рд░реНрдХрд┐рдЯ рдЕрдкрдиреА рдЬрдЧрд╣ рдкрд░ рд╣реЛ рд╕рдХрддрд╛ рд╣реИ, рдЙрджрд╛рд╣рд░рдг рдХреЗ рд▓рд┐рдП, рд░реАрдб-рд╕реЛрд▓реЛрдорди рдХреЛрдб рдХреЗ рд╕рд╛рде рдПрдХ рд╕рд┐рдЧреНрдирд▓ рдХреЛрдбрд┐рдВрдЧ рдЗрдХрд╛рдИ, рд▓реЗрдХрд┐рди рдкреНрд░реЛрд╕реЗрд╕рд░ рдХреЛрд░ рд╕рдмрд╕реЗ рдЕрдзрд┐рдХ рд╡реНрдпрд╛рдкрдХ рд░реВрдк рд╕реЗ рд╕реБрдирд╛ рдЬрд╛рддрд╛ рд╣реИ рдФрд░ рд╡реЗ рдкреВрд░реЗ рдмрд╛рдЬрд╛рд░ рдХрд╛ рдПрдХ рдЕрдЪреНрдЫрд╛ рд╣рд┐рд╕реНрд╕рд╛ рдмрдирд╛рддреЗ рд╣реИрдВ (рдЬрдЯрд┐рд▓рддрд╛ рдФрд░ рдЙрдЪреНрдЪ рд▓рд╛рдЧрдд рдХреЗ рдХрд╛рд░рдг)ред

рдбрд┐рдЬрд┐рдЯрд▓ рд╕рд░реНрдХрд┐рдЯ рдФрд░ рдПрдирд╛рд▓реЙрдЧ рд▓реЛрдЧреЛрдВ рдХреЗ рд╡рд┐рдХрд╛рд╕ рдХреЗ рдмреАрдЪ рдореБрдЦреНрдп рдЕрдВрддрд░ рдпрд╣ рд╣реИ рдХрд┐ рдбрд┐рдЬрд┐рдЯрд▓ рд╕рд░реНрдХрд┐рдЯ рдЖрдорддреМрд░ рдкрд░ рд╡рд┐рд╢реЗрд╖ рдЙрдЪреНрдЪ-рд╕реНрддрд░реАрдп рднрд╛рд╖рд╛рдУрдВ рдореЗрдВ рд▓рд┐рдЦрд╛ рдЬрд╛рддрд╛ рд╣реИ - рд╡реЗрд░рд┐рд▓реЙрдЧ рдпрд╛ рд╡реАрдПрдЪрдбреАрдПрд▓, рдФрд░ рдлрд┐рд░ рдПрдХ рд╡рд┐рд╢реЗрд╖ рд╕реАрдПрдбреА рд╕рд┐рд╕реНрдЯрдо рдЗрд╕ рдХреЛрдб рд╕реЗ рд╡рд┐рджреНрдпреБрдд рд╕рд░реНрдХрд┐рдЯ рдФрд░ рдЯреЛрдкреЛрд▓реЙрдЬреА рдХреЛ рд╕рдВрд╢реНрд▓реЗрд╖рд┐рдд рдХрд░рддрд╛ рд╣реИред рдЗрд╕рд▓рд┐рдП, рдЬрдм рддрдХ рд╕рдВрд╢реНрд▓реЗрд╖рдг рдХреЗ рд╕рдордп рддрдХ, рдХреЛрдб рдПрдХ рд╡рд┐рд╢рд┐рд╖реНрдЯ рддрдХрдиреАрдХ рд╕реЗ рдмрдВрдзрд╛ рдирд╣реАрдВ рд╣реЛрддрд╛ рд╣реИ, рдФрд░ рдПрдХ рд╣реА рдмреНрд▓реЙрдХ рдХреЛ рд╡рд┐рднрд┐рдиреНрди рдХрд╛рд░рдЦрд╛рдиреЛрдВ (рдпрд╛ FPGA рдореЗрдВ рд╕рд┐рд▓рдирд╛) рдпрд╛ рдПрдХ рд╣реА рддрдХрдиреАрдХ рдХреЗ рд╡рд┐рднрд┐рдиреНрди рд╕рдВрд╕реНрдХрд░рдгреЛрдВ рдореЗрдВ рдЙрддреНрдкрд╛рджрд┐рдд рдХрд┐рдпрд╛ рдЬрд╛ рд╕рдХрддрд╛ рд╣реИ (рдЙрджрд╛рд╣рд░рдг рдХреЗ рд▓рд┐рдП, рдЙрдЪреНрдЪ рдЧрддрд┐ рдпрд╛ рдХрдо рдмрд┐рдЬрд▓реА рдХреА рдЦрдкрдд рдХреЗ рд▓рд┐рдП рдЕрдиреБрдХреВрд▓рд┐рдд) ред рдпрд╣, рд╡рд╛рд╕реНрддрд╡ рдореЗрдВ, рд╡рд┐рдХрд╛рд╕ рдХрдВрдкрдирд┐рдпреЛрдВ рджреНрд╡рд╛рд░рд╛ рдЙрдкрдпреЛрдЧ рдХрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИ, рдЬреИрд╕реЗ рдХрд┐, рдЙрджрд╛рд╣рд░рдг рдХреЗ рд▓рд┐рдП, рдПрдЖрд░рдПрдоред рдРрд╕реЗ рдбрд┐рдЬрд┐рдЯрд▓ рдмреНрд▓реЙрдХ рдЬрд┐рдиреНрд╣реЗрдВ рдХрд┐рд╕реА рд╡рд┐рд╢рд┐рд╖реНрдЯ рддрдХрдиреАрдХ рд╕реЗ рдирд╣реАрдВ рдЬреЛрдбрд╝рд╛ рдЬрд╛рддрд╛ рд╣реИ, рдЙрдиреНрд╣реЗрдВ рд╕реЙрдлреНрдЯ рдЖрдИрдкреА рдХрд╣рд╛ рдЬрд╛рддрд╛ рд╣реИред

рдпрд╣рд╛рдБ рдПрдХ рдпреЛрдЬрдХ рдмрд┐рдЯ рдХреА рдпреЛрдЬрдирд╛ рд╣реИ:

рдЫрд╡рд┐

рдФрд░ рдпрд╣рд╛рдБ рдПрдХ рдЖрда-рдмрд┐рдЯ рдпреЛрдЬрдХ рд╡рд╛рд╕реНрддрд╡ рдореЗрдВ рдПрдХ рдЪрд┐рдк рдбрд┐рдЬрд╛рдЗрди рдХреА рддрд░рд╣ рджрд┐рдЦрддрд╛ рд╣реИ:
module adder(
input wire [7:0]a,
input wire [7:0]b,
output wire [7:0]out,
output wire carry
);
assign {carry, out} = a + b;
endmodule
, , , . , IP- .

Soft IP? :
тАв Clean, readable, synthesizable Verilog HDL, VHDL
тАв Cadence Encounter RTL Compiler synthesis scripts
тАв Documentation тАУ integration and user guide, release notes
тАв Sample verification testbench
Encrypted source along with a complete certification data package (CDP) including all artifacts required for chip-level compliance.

, тАФ , ( IP ). , . , - -, , .

, LEON3:

  1. , Cobham Gaisler, LEON3 GPL
  2. LEON3FT ( ): The LEON3FT core is distributed together with a special FT version of the GRLIP IP library, distributed as encrypted RTL.
  3. ( ) , LEON2FT, .

, , Verilog-, . Verilog- , , , , . digital watermarks, , IP.

, тАЬClean, readable, synthesizableтАЭ , , IP . , , , ( , hardware trojans) , , , .

, : soft IP , , ? , Soft IP, , .. .тАж :

ARM, , , , , ( ), IP , - , , . . (, , , ). Apple Imagination Technology in-house , Apple , Imagination ( ).

, тАФ ( IP ) ( ).

soft IP FPGA, , . , Xilinx IP, ( ) , , ( , ).


, , .

тАФ IP- . , -, . , IP, , IP , , IP ( , ); , - .

тАФ . , ( IP).

тАФ . , , , . тАФ . , , .

тАФ , , (, ARM Cortex). тАФ , .

тАФ . (, , ) , тАФ ( , , ). ? , ( - , , ) . , , , , , тАФ .

? : , , , . , Apple, ARM - , , (, ARM ) тАФ .

: , , , , , , ( тАФ ). , , . , , Apple, , , ! ARM, , Apple . , ARM . , , Apple тАФ PowerPC, , PowerPC x86, Apple Intel.


Soft IP , - , IP . :

  1. , тАЬ тАФ тАЭ, .
  2. - IP ( ), IP, IP ( IP hardening) . ? , , , .

soft IP ( ), , , .. ..

Configurable IP


IP, hard soft тАФ , . - , - . ? , IP-? ?

( , FPGA) , , , . , , IP- Hard IP, Soft IP ( , ).



тАФ -.

Foundation IP


┬л-hard┬╗ IP- тАФ foundation IP, . тАФ , . , , , , , . , , ( ) , (, ) . ┬лgeneric┬╗ , , , , , .

Foundation IP -, , , .



BarsMonster .

Verification IP


, , тАФ , . , , , . , , . , - . тАЬтАЭ. .

, тАФ Verification IP. тАФ , , ( ). Synopsys , , Synopsys тАФ IP-. IP Design&Reuse Synopsys 828 Silicon IP ( ARC тАФ ARM) 116 Verification IP .

verification IP CAN, ( APB AHB ARM) - . , , , .

?


, , , , ? .

:

  • , ( verification IP ).
  • тАФ .
  • ( , ).
  • Soft IP , .
  • , , .
  • , , .
  • , .
  • , ( ).

, , ( ). , - , ┬л - BGA 400-700 ┬╗. , Hard IP- 400-700 , , , 4-8 (, Soft IP). тАФ ? , . , ┬л-┬╗ .



тАФ Synopsys IC Compiler , .

, тАФ , , , , , , .

, , fabless- IP- , , , . , , ( тАЬтАЭ) , , : , , , , , , - . , , тАФ .


: Open Source?
/ Open Source . , - ( ) , - . , , - . , , .

тАФ Opencores.org
, тАФ OpenRISC, ( FPGA), ASIC ( Samsung). Opencores, , - , ( ARM), FPGA. FPGA Proven, тАФ ASIC Proven.

тАФ RISC-V. ( OpenPOWER OpenSPARC) , - , , ( ).
RISC-V тАФ , MIPS, , , , ( , MIPS). RISC-V Foundation Google, Samsung, NXP, NVIDIA . , , , , OpenPOWER, , , ARM , ARM, , , ( Intel). - . RISC-V ( RISC-V), - , . , , RISC-V тАФ Falcon NVIDIA.

: ?
OpenCores, IP- ( ) . :

TSMC IP Alliance
, IP .

Design&Reuse
, IP-, ( ).

ChipEstimate
, D&R, .

тАФ ?
, , , - .

тАФ тАЬтАЭ (), тАЬтАЭ ( ), тАЬтАЭ тАЬтАЭ (211), тАЬNeuromatrixтАЭ ( тАЬтАЭ), RISC-V (Syntacore), ARM, MIPS, SPARC, MCS96, MCS51, C166, AVR, MSP430.

, тАЬ тАЭ ( ):
IP-:
: ARM Cortex-M4F, ARM Cortex-M0, ARM946E-S c AMBA, ARM VFP9-S, SPARC v.8 c AMBA. 8- RISC .

IP- (PLL) TSMC, KeyASIC AnalogBits, Sidense Memory, - (eFuse) TSMC, IP- , ROM RAM ..
тАЬ тАЭ , IP- - (-, IP-).

IP? IP- ( тАЬ тАЭ, тАЬтАЭ, 211), . Design&Reuse ChipEstimate тАЬ тАЭ ( ) NTLab. , .

Source: https://habr.com/ru/post/hi414215/


All Articles