рдКрд░реНрдЬрд╛ рдЕрдиреБрдХреВрд▓рди STM32: рдПрдХ рд╡реНрдпрд╛рд╡рд╣рд╛рд░рд┐рдХ рдЧрд╛рдЗрдб

рдирдорд╕реНрдХрд╛рд░, рд╣реЗрдмреНрд░!

рдКрд░реНрдЬрд╛-рдХреБрд╢рд▓ рдЙрдкрдХрд░рдгреЛрдВ рдореЗрдВ рдПрд╕рдЯреАрдПрдо 32 рдорд╛рдЗрдХреНрд░реЛрдХрдВрдЯреНрд░реЛрд▓рд░ рдХреЗ рд╕рдВрдЪрд╛рд▓рди рдХреЗ рдмрд╛рд░реЗ рдореЗрдВ рдиреЗрдЯрд╡рд░реНрдХ рдкрд░ рдмрд╣реБрдд рд╕рд╛рд░реЗ рд▓реЗрдЦ рд╣реИрдВ - рдЖрдорддреМрд░ рдкрд░ рдмреИрдЯрд░реА рд╕реЗ рдЪрд▓рдиреЗ рд╡рд╛рд▓реЗ рдЙрдкрдХрд░рдг - рд╣рд╛рд▓рд╛рдВрдХрд┐, рдЙрдирдХреЗ рдмреАрдЪ рдпрд╣ рджреБрд░реНрднрд╛рдЧреНрдпрдкреВрд░реНрдг рд╣реИ рдХрд┐ рд╡реЗ рдКрд░реНрдЬрд╛-рдмрдЪрдд рдореЛрдб рдФрд░ рдПрд╕рдкреАрдПрд▓ / рдПрдЪрдПрдПрд▓ рдХрдорд╛рдВрдб рдХреА рд╕реВрдЪреА рдХреЗ рдмрд╛рд╣рд░ рдЗрд╕ рд╡рд┐рд╖рдп рдХреЛ рдирд╣реАрдВ рд╕рдордЭрддреЗ рд╣реИрдВ, рдЬрд┐рд╕рдореЗрдВ рд╡реЗ рд╢рд╛рдорд┐рд▓ рд╣реИрдВ (рд╣рд╛рд▓рд╛рдВрдХрд┐, рдПрдХ рд╣реА рджрд╛рд╡рд╛ рд▓рд╛рдЧреВ рд╣реЛрддрд╛ рд╣реИ) STM32 рдХреЗ рд╕рд╛рде рдХрд╛рдо рдХрд░рдиреЗ рдХреЗ рдмрд╛рд░реЗ рдореЗрдВ рд▓реЗрдЦ рдХреЗ рд╡рд┐рд╢рд╛рд▓ рдмрд╣реБрдордд)ред

рдЗрд╕ рдмреАрдЪ, рд╕реНрдорд╛рд░реНрдЯ рдШрд░реЛрдВ рдФрд░ рд╕рднреА рдкреНрд░рдХрд╛рд░ рдХреЗ IoT рдХреЗ рддреЗрдЬреА рд╕реЗ рд╡рд┐рдХрд╛рд╕ рдХреЗ рдХрд╛рд░рдг, рд╡рд┐рд╖рдп рддреЗрдЬреА рд╕реЗ рдкреНрд░рд╛рд╕рдВрдЧрд┐рдХ рд╣реЛрддрд╛ рдЬрд╛ рд░рд╣рд╛ рд╣реИ - рдРрд╕реА рдкреНрд░рдгрд╛рд▓рд┐рдпреЛрдВ рдореЗрдВ, рдХрдИ рдШрдЯрдХ рдмреИрдЯрд░реА рд╕рдВрдЪрд╛рд▓рд┐рдд рд╣реЛрддреЗ рд╣реИрдВ, рдФрд░ рдЙрдирд╕реЗ рдирд┐рд░рдВрддрд░ рд╕рдВрдЪрд╛рд▓рди рдХреЗ рд╡рд░реНрд╖реЛрдВ рдХреА рдЙрдореНрдореАрдж рдХреА рдЬрд╛рддреА рд╣реИред

рд╣рдо рдЗрд╕ рдЕрдВрддрд░ рдХреЛ STM32L1 рдХреЗ рдЙрджрд╛рд╣рд░рдг рд╕реЗ рднрд░реЗрдВрдЧреЗ - рдПрдХ рдмрд╣реБрдд рд╣реА рд▓реЛрдХрдкреНрд░рд┐рдп рдирд┐рдпрдВрддреНрд░рдХ, рдХрд╛рдлреА рдХрд┐рдлрд╛рдпрддреА рдФрд░ рд╕рд╛рде рд╣реА рд╕рд╛рде рдЗрд╕ рд╢реНрд░реГрдВрдЦрд▓рд╛ рдХреЗ рд▓рд┐рдП рдХреБрдЫ рд╕рдорд╕реНрдпрд╛рдПрдБ рд╣реИрдВред рд▓рдЧрднрдЧ рд╕рдм рдХреБрдЫ рдЬреЛ рдХрд╣рд╛ рдЧрдпрд╛ рд╣реИ рд╡рд╣ STM32L0 рдФрд░ STM32L4 рдкрд░ рднреА рд▓рд╛рдЧреВ рд╣реЛрдЧрд╛, рдФрд░, рдХреЙрд░реНрдЯреЗрдХреНрд╕-рдПрдо рдХреЛрд░ рдХреЗ рдЖрдзрд╛рд░ рдкрд░ рдЕрдиреНрдп рдирд┐рдпрдВрддреНрд░рдХреЛрдВ рдХреЗ рд▓рд┐рдП рд╕рд╛рдорд╛рдиреНрдп рд╕рдорд╕реНрдпрд╛рдУрдВ рдФрд░ рджреГрд╖реНрдЯрд┐рдХреЛрдгреЛрдВ рдХреЗ рд╕рдВрджрд░реНрдн рдореЗрдВред



рд╡реНрдпрд╛рд╡рд╣рд╛рд░рд┐рдХ рдкрд░рд┐рдгрд╛рдо рдКрдкрд░ рджреА рдЧрдИ рддрд╕реНрд╡реАрд░ рдХреА рддрд░рд╣ рджрд┐рдЦрдирд╛ рдЪрд╛рд╣рд┐рдП (рдФрд░ рд╣рд╛рдБ, рд╣рдо рдорд▓реНрдЯреАрдореАрдЯрд░ рдФрд░ рдЗрд╕реА рддрд░рд╣ рдХреЗ рдХрд╛рд░реНрдпреЛрдВ рдХреЗ рд▓рд┐рдП рдЕрдиреНрдп рдорд╛рдк рдЙрдкрдХрд░рдгреЛрдВ рдХреА рдкреНрд░рдпреЛрдЬреНрдпрддрд╛ рдХреЗ рдмрд╛рд░реЗ рдореЗрдВ рднреА рдмрд╛рдд рдХрд░реЗрдВрдЧреЗ)ред

STM32L1 рдореЗрдВ рдкрд╛рд╡рд░ рд╕реЗрд╡рд░ рдореЛрдбреНрд╕


рдмреИрдЯрд░реА рдХреА рдмрдЪрдд рдХреА рдореВрд▓ рдмрд╛рддреЗрдВ рдкреНрд░реЛрд╕реЗрд╕рд░ рдХрд╛ рдореБрдЦреНрдп рдмрд┐рдЬрд▓реА-рдмрдЪрдд рдореЛрдб рд╣реИрдВред рдкреНрд░рддреНрдпреЗрдХ рдирд┐рд░реНрдорд╛рддрд╛ рдФрд░ рдирд┐рдпрдВрддреНрд░рдХреЛрдВ рдХреА рдкреНрд░рддреНрдпреЗрдХ рд╢реНрд░реГрдВрдЦрд▓рд╛ рдХрд╛ рдЕрдкрдирд╛ рд╣реИ (рдПрдХ рд╡рд┐рд╢рд┐рд╖реНрдЯ рд╕реЗрдЯ рдорд╛рдирдХ рдХреЙрд░реНрдЯреЗрдХреНрд╕-рдПрдо рдХреЛрд░ рдореЛрдб рдХрд╛ рдПрдХ рд╡рд┐рдХреНрд░реЗрддрд╛ рд╡рд┐рд╕реНрддрд╛рд░ рд╣реИ рдЬрд┐рд╕рдореЗрдВ рдкрд░рд┐рдзрд┐, рдЖрдкреВрд░реНрддрд┐ рд╡реЛрд▓реНрдЯреЗрдЬ рдЖрджрд┐ рдХреЗ рдмрд╛рд░реЗ рдореЗрдВ рд╡рд┐рднрд┐рдиреНрди рдмрд╛рд░реАрдХрд┐рдпреЛрдВ рдХреЗ рд╕рд╛рде рд╣реИ)ред

рд╡рд┐рд╢реЗрд╖ рд░реВрдк рд╕реЗ, STM32L1, рдЬреЛ рдирд┐рдпрдВрддреНрд░рдХреЛрдВ рдХреА рдХрд┐рдлрд╛рдпрддреА рд╢реНрд░реГрдВрдЦрд▓рд╛ рд╕реЗ рд╕рдВрдмрдВрдзрд┐рдд рд╣реИ, рдФрд░ рдЗрд╕рдХреЗ рд╕рдВрдмрдВрдз рдореЗрдВ, рдЕрдиреНрдп рдмрд╛рддреЛрдВ рдХреЗ рдЕрд▓рд╛рд╡рд╛, рдмрд┐рдЬрд▓реА рд╕реЗрдЯрд┐рдВрдЧреНрд╕ рдХрд╛ рдПрдХ рд╡рд┐рд╕реНрддрд╛рд░рд┐рдд рд╕реЗрдЯ рдкреНрд░рд╛рдкреНрдд рдХрд┐рдпрд╛, рд╣рдорд╛рд░реЗ рдкрд╛рд╕ рдирд┐рдореНрдирд▓рд┐рдЦрд┐рдд рд╣реИрдВ:

  • рд░рди - рд╕рд╛рдорд╛рдиреНрдп рдореЛрдбред рд╕рднреА рд╕рдорд╛рд╡реЗрд╢реА, рд╕рднреА рдкрд░рд┐рдзреАрдп рдЙрдкрд▓рдмреНрдз, рдЖрд╡реГрддреНрддрд┐ 32 рдореЗрдЧрд╛рд╣рд░реНрдЯреНрдЬ рддрдХред
  • рд▓реЛ рдкрд╛рд╡рд░ рд░рди (рдПрд▓рдкреА рд░рди) - рдкреВрд░реЗ рдкрд░рд┐рдзрд┐ рдкрд░ рд╡рд┐рдЪрд╛рд░ рдХрд░рддреЗ рд╣реБрдП 131 kHz рдФрд░ рдЕрдзрд┐рдХрддрдо рдЦрдкрдд рдХреЗ рднреАрддрд░ рдПрдХ рдСрдкрд░реЗрдЯрд┐рдВрдЧ рдореЛрдб, 200 ╬╝Aред рдПрд▓рдкреА рд░рди рдореЛрдб рдореЗрдВ, рдкреНрд░реЛрд╕реЗрд╕рд░ рдкрд╛рд╡рд░ рдирд┐рдпрд╛рдордХ рдПрдХ рд╡рд┐рд╢реЗрд╖ рдХрд┐рдлрд╛рдпрддреА рдореЛрдб рдореЗрдВ рдЬрд╛рддрд╛ рд╣реИ, рдЬреЛ рд░рди рдореЛрдб рдореЗрдВ рдПрдХ рд╣реА рдЖрд╡реГрддреНрддрд┐ рдкрд░ рдХрд╛рдо рдХрд░рдиреЗ рдХреА рддреБрд▓рдирд╛ рдореЗрдВ рдкрдЪрд╛рд╕ рдорд╛рдЗрдХреНрд░реЛрдПрдореНрдк рддрдХ рдмрдЪрд╛рддрд╛ рд╣реИред
  • рдиреАрдВрдж - рдХрд░реНрдиреЗрд▓ рдХрд╛ рдирд┐рд▓рдВрдмрди, рд▓реЗрдХрд┐рди рд╕рднреА рдШрдбрд╝реА рдЖрд╡реГрддреНрддрд┐рдпреЛрдВ рдХреЗ рд╕рдВрд░рдХреНрд╖рдг рдХреЗ рд╕рд╛рдеред рдпрджрд┐ рдкреНрд░реЛрд╕реЗрд╕рд░ рдХреЛ рдХрд░реНрдиреЗрд▓ рдХреА рдЖрд╡рд╢реНрдпрдХрддрд╛ рдирд╣реАрдВ рд╣реИ, рддреЛ рдкреНрд░реЛрд╕реЗрд╕рд░ рдкрд░рд┐рдзреАрдп рдХрд╛рдо рдХрд░рдирд╛ рдЬрд╛рд░реА рд░рдЦ рд╕рдХрддрд╛ рд╣реИ, рд▓реЗрдХрд┐рди рдпрд╣ рд╕реНрд╡рдЪрд╛рд▓рд┐рдд рд░реВрдк рд╕реЗ рдмрдВрдж рд╣реЛ рд╕рдХрддрд╛ рд╣реИред
  • рд▓реЛ рдкрд╛рд╡рд░ рд╕реНрд▓реАрдк (рдПрд▓рдкреА рд╕реНрд▓реАрдк) - рдЗрдХреЛрдиреЙрдореА рдореЛрдб рдореЗрдВ рд╕реНрдЯреЗрдмрд▓рд╛рдЗрдЬрд░ рдХреЗ рд╕рдВрдХреНрд░рдордг рдХреЗ рд╕рд╛рде рдиреАрдВрдж рдХрд╛ рд╕рдВрдпреЛрдЬрдиред рдШрдбрд╝реА рдХреА рдЖрд╡реГрддреНрддрд┐ 131 kHz рд╕реЗ рдЕрдзрд┐рдХ рдирд╣реАрдВ рд╣реИ, рдХреБрд▓ рдЦрдкрдд 200 ╬╝A рд╕реЗ рдЕрдзрд┐рдХ рдирд╣реАрдВ рд╣реИред
  • рд╕реНрдЯреЙрдк - "рдШрдбрд╝реА" рдЬрдирд░реЗрдЯрд░ 32768 рд╣рд░реНрдЯреНрдЬ, рдмрд╛рд╣рд░реА рдпрд╛ рдЖрдВрддрд░рд┐рдХ рдХреЛ рдЫреЛрдбрд╝рдХрд░, рд╕рднреА рдШрдбрд╝реА рдЖрд╡реГрддреНрддрд┐рдпреЛрдВ рдХрд╛ рдПрдХ рдкреВрд░реНрдг рд╡рд┐рд░рд╛рдоред STM32L1 рдХреЗ рдорд╛рдорд▓реЗ рдореЗрдВ, рдХреЗрд╡рд▓ рд╡рд╛рд╕реНрддрд╡рд┐рдХ рд╕рдордп рдХреА рдШрдбрд╝реА рдЗрд╕ рдореЛрдб рдореЗрдВ рдХрд╛рдо рдХрд░рдирд╛ рдЬрд╛рд░реА рд░рдЦрддреА рд╣реИ, рдмрд╛рдХреА рд╕рдм рдХреБрдЫ рдкреВрд░реА рддрд░рд╣ рд╕реЗ рдмрдВрдж рд╣реЛ рдЬрд╛рддрд╛ рд╣реИ; рдирдП рдкреНрд░реЛрд╕реЗрд╕рд░ рдореЗрдВ, рдХрдо рдЖрд╡реГрддреНрддрд┐рдпреЛрдВ рдкрд░ рдХреБрдЫ рдмрд╛рд╣реНрдп рдЙрдкрдХрд░рдгреЛрдВ рдХреЛ рджреЗрдЦрд╛ рдЬрд╛ рд╕рдХрддрд╛ рд╣реИред рд▓рдЧрднрдЧ рд╕рднреА рдкреНрд░реЛрд╕реЗрд╕рд░ рдкреИрд░ рдЕрдкрдиреЗ рд░рд╛рдЬреНрдп рдХреЛ рдмрдирд╛рдП рд░рдЦрддреЗ рд╣реИрдВред рд░реИрдо рдХреА рд╕рд╛рдордЧреНрд░реА рдХреЛ рдмрдЪрд╛рдпрд╛ рдЬрд╛рддрд╛ рд╣реИ, рдмрд╛рд╣рд░реА рд╡реНрдпрд╡рдзрд╛рди рдХрд╛рдо рдХрд░рдирд╛ рдЬрд╛рд░реА рд░рдЦрддреЗ рд╣реИрдВред
  • рд╕реНрдЯреИрдВрдбрдмрд╛рдп - рд╡рд╛рд╕реНрддрд╡рд┐рдХ рд╕рдордп рдХреА рдШрдбрд╝рд┐рдпреЛрдВ рдХреЛ рдЫреЛрдбрд╝рдХрд░, рдкреНрд░реЛрд╕реЗрд╕рд░ рдХреЛрд░, рд░реИрдо рдФрд░ рд╕рднреА рдмрд╛рд╣реНрдп рдЙрдкрдХрд░рдгреЛрдВ рдХрд╛ рдПрдХ рдкреВрд░реНрдг рдмрдВрджред рд░реИрдо рдХреЛ рдмрдЪрд╛рдпрд╛ рдирд╣реАрдВ рдЧрдпрд╛ рд╣реИ (рдпрд╛рдиреА, рд╕реЙрдлреНрдЯрд╡реЗрдпрд░ рдХреА рджреГрд╖реНрдЯрд┐ рд╕реЗ, рд╕реНрдЯреИрдВрдбрдмрд╛рдп рдореЗрдВ рдЫреЛрдбрд╝рдирд╛ рд▓рдЧрднрдЧ рдмрд┐рдЬрд▓реА рд╡рд┐рдХреГрддрд┐ рдХреЗ рд╕рдорд╛рди рд╣реИ - рдлрд┐рд░ рд╕реЗ рд╢реБрд░реВ рдХрд░реЗрдВ), рдЖрд░рдЯреАрд╕реА рдЯрд┐рдХ рдХрд░рдирд╛ рдЬрд╛рд░реА рд░рдЦрддрд╛ рд╣реИред рдмрд╛рд╣рд░реА рд╡реНрдпрд╡рдзрд╛рди рдХрд╛рдо рдирд╣реАрдВ рдХрд░рддреЗ рд╣реИрдВ, рддреАрди рд╡рд┐рд╢реЗрд╖ WKUPx рдкреИрд░реЛрдВ рдХреЛ рдЫреЛрдбрд╝рдХрд░, рдЬрд┐рдирдореЗрдВ рд╕реЗ 0 рд╕реЗ 1 рддрдХ рд╕реНрд╡рд┐рдЪрд┐рдВрдЧ рдкреНрд░реЛрд╕реЗрд╕рд░ рдХреЛ рдЬрд╛рдЧреГрдд рдХрд░рддрд╛ рд╣реИред

рдкреНрд░рддреНрдпреЗрдХ рдореЛрдб рдореЗрдВ рдкреНрд░рд╡реЗрд╢ рдХрд░рдирд╛ рдХрд╛рдлреА рд╕рд░рд▓ рд╣реИ - рдЖрдкрдХреЛ рддреАрди рд╕реЗ рдкрд╛рдВрдЪ рд░рдЬрд┐рд╕реНрдЯрд░реЛрдВ рдореЗрдВ рдЭрдВрдбреЗ рд╕реЗрдЯ рдХрд░рдиреЗ рдХреА рдЖрд╡рд╢реНрдпрдХрддрд╛ рд╣реИ, рдЬрд┐рд╕рдХреЗ рдмрд╛рдж (рд╕реНрд▓реАрдк рдореЛрдб рдХреЗ рд▓рд┐рдП) рдбрдмреНрд▓реНрдпреВрдПрдлрдЖрдИ рдпрд╛ рдбрдмреНрд▓реНрдпреВрдПрдлрдИ рдирд┐рд░реНрджреЗрд╢ рдХреЛ рдХреЙрд▓ рдХрд░реЗрдВ, рдпрд╣ рдорд╛рдирдХ рдХреЛрд░реНрдЯреЗрдХреНрд╕-рдПрдо рдирд┐рд░реНрджреЗрд╢ рд╣реИ, рдЬрд┐рд╕рдХрд╛ рдЕрд░реНрде рд╣реИ "рдЗрдВрдЯрд░рдкреНрдЯ рдХреЗ рд▓рд┐рдП рдкреНрд░рддреАрдХреНрд╖рд╛ рдХрд░реЗрдВ" рдФрд░ "рдИрд╡реЗрдВрдЯ рдХреЗ рд▓рд┐рдП рдкреНрд░рддреАрдХреНрд╖рд╛ рдХрд░реЗрдВ" ред рдЭрдВрдбреЗ рдХреЗ рдЖрдзрд╛рд░ рдкрд░ (рд╡реЗ рдкреНрд░реЛрд╕реЗрд╕рд░ рдХреЗ рд╕рдВрджрд░реНрдн рдореИрдиреБрдЕрд▓ рдореЗрдВ рд╡рд░реНрдгрд┐рдд рд╣реИрдВ, STM32L1 рдХреЗ рд▓рд┐рдП рдпрд╣ RM0038 рд╣реИ ) рдкреНрд░реЛрд╕реЗрд╕рд░ рдЦреБрдж рдЗрд╕ рдХрдорд╛рдВрдб рдкрд░ рдЗрд╕ рдореЛрдб рдореЗрдВ рдЖ рдЬрд╛рдПрдЧрд╛ред

рдЗрд╕рдХреЗ рдЕрд▓рд╛рд╡рд╛, рд╡реНрдпрд╡рдзрд╛рдиреЛрдВ рдХреЛ рд░реЛрдХрдирд╛ рдЕрдЪреНрдЫрд╛ рд╣реЛрдЧрд╛ (рдЗрд╕рд╕реЗ рдкреНрд░реЛрд╕реЗрд╕рд░ рдХреЛ рдиреАрдВрдж рд╕реЗ рдЬрдЧрд╛рдиреЗ рдХреА рдмрд╛рд╣рд░реА рдФрд░ рдЖрдВрддрд░рд┐рдХ рдШрдЯрдирд╛рдУрдВ рдХреА рдХреНрд╖рдорддрд╛ рдкреНрд░рднрд╛рд╡рд┐рдд рдирд╣реАрдВ рд╣реЛрдЧреА) рдФрд░ рдбреАрдПрд╕рдмреА рдХрдорд╛рдВрдб рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░рдХреЗ рдбреЗрдЯрд╛ рдХреЛ рд░рдЬрд┐рд╕реНрдЯрд░реЛрдВ рд╕реЗ рдореЗрдореЛрд░реА рдореЗрдВ рд╕рд╣реЗрдЬреЗ рдЬрд╛рдиреЗ рдХреА рдкреНрд░рддреАрдХреНрд╖рд╛ рдХрд░реЗрдВред

рдЙрджрд╛рд╣рд░рдг рдХреЗ рд▓рд┐рдП, рдпрд╣ рд╕реНрдЯреЙрдк рдореЛрдб рдореЗрдВ рдЬрд╛ рд░рд╣рд╛ рд╣реИ рдЬреИрд╕рд╛ рджрд┐рдЦрддрд╛ рд╣реИ:

/*  PDDS    Stop  Standby,    */ PWR->CR &= ~(PWR_CR_PDDS); /*  Wakeup   ,      */ PWR->CR |= PWR_CR_CWUF; /*    low-power ,    Stop -    */ PWR->CR |= PWR_CR_LPSDSR; /*    Vref   */ PWR->CR |= PWR_CR_ULP; /*     Cortex-M,  Stop,  Standby -   Deep Sleep */ /*      Deep Sleep */ SCB->SCR |= (SCB_SCR_SLEEPDEEP_Msk); /*  ;       */ unsigned state = irq_disable(); /*      */ __DSB(); /*  */ __WFI(); /*    */ init_clk(); /*     */ irq_restore(state); 

рдбрдмреНрд▓реНрдпреВрдПрдлрдЖрдИ рдПрдХ рдЕрд╡рд░реБрджреНрдз рдирд┐рд░реНрджреЗрд╢ рд╣реИ, рдЗрд╕ рдкрд░ рдкреНрд░реЛрд╕реЗрд╕рд░ рдЧрд╣рд░реА рдиреАрдВрдж рдореЗрдВ рдЪрд▓рд╛ рдЬрд╛рдПрдЧрд╛ рдФрд░ рддрдм рддрдХ рдмрд╛рд╣рд░ рдирд╣реАрдВ рдирд┐рдХрд▓реЗрдЧрд╛ рдЬрдм рддрдХ рдХрд┐ рдХрд┐рд╕реА рддрд░рд╣ рдХрд╛ рд╡реНрдпрд╡рдзрд╛рди рди рд╣реЛред рд╣рд╛рдВ, рдореИрдВ рджреЛрд╣рд░рд╛рддрд╛ рд╣реВрдВ рдХрд┐ рдЗрд╕ рддрдереНрдп рдХреЗ рдмрд╛рд╡рдЬреВрдж рдХрд┐ рд╣рдордиреЗ рд╕реНрдкрд╖реНрдЯ рд░реВрдк рд╕реЗ рдЕрд╡рд░реЛрдзреЛрдВ рдХреЛ рдмрдВрдж рдХрд░ рджрд┐рдпрд╛ рд╣реИ, рдкреНрд░реЛрд╕реЗрд╕рд░ рдЙрдиреНрд╣реЗрдВ рдЬрд╡рд╛рдм рджреЗрдЧрд╛ рдФрд░ рдЬрд╛рдЧ рдЬрд╛рдПрдЧрд╛ - рд▓реЗрдХрд┐рди рдпрд╣ рдХреЗрд╡рд▓ рд╣рдо рд╡рд╛рдкрд╕ рдЪрд╛рд▓реВ рдХрд░рдиреЗ рдХреЗ рдмрд╛рдж рдкреНрд░рд╕рдВрд╕реНрдХрд░рдг рд╢реБрд░реВ рдХрд░ рджреЗрдВрдЧреЗред рдФрд░ рдЗрд╕рдХрд╛ рдЧрд╣рд░рд╛ рдЕрд░реНрде рд╣реИред

рдКрдкрд░ рджрд┐рдП рдЧрдП рдХреЛрдб рдореЗрдВ, рдбрдмреНрд▓реНрдпреВрдПрдлрдЖрдИ рдХреЗ рдмрд╛рдж, рдСрдкрд░реЗрдЯрд┐рдВрдЧ рдЖрд╡реГрддреНрддрд┐рдпреЛрдВ рдХрд╛ рдХреБрдЫ рдкреНрд░рдХрд╛рд░ рдХрд╛ рдкреБрдирд░реНрд╕рдВрд░рдЪрдирд╛рдХрд░рдг рдХреЗрд╡рд▓ рдЗрд╕ рддрд░рд╣ рдирд╣реАрдВ рд╣реЛрддрд╛ рд╣реИ - рддрдереНрдп рдпрд╣ рд╣реИ рдХрд┐ рдПрд▓ 1 рд╣рдореЗрд╢рд╛ 4.2 рдореЗрдЧрд╛рд╣рд░реНрдЯреНрдЬ рдХреА рдЖрд╡реГрддреНрддрд┐ рдкрд░ рдЧрд╣рд░реА рдиреАрдВрдж рдЫреЛрдбрд╝рддрд╛ рд╣реИ рдФрд░ рдЗрд╕ рдЖрд╡реГрддреНрддрд┐ рдХреЗ рд╕реНрд░реЛрдд рдХреЗ рд░реВрдк рдореЗрдВ рдЖрдВрддрд░рд┐рдХ рдПрдордПрд╕рдЖрдИ рдЬрдирд░реЗрдЯрд░ рдХреЗ рд╕рд╛рде рд╣реИред рдХрдИ рд╕реНрдерд┐рддрд┐рдпреЛрдВ рдореЗрдВ, рдЖрдк рд╕реНрдкрд╖реНрдЯ рд░реВрдк рд╕реЗ рдЙрд╕ рдмрд╛рдзрд╛ рд╣реИрдВрдбрд▓рд░ рдХреЛ рдирд╣реАрдВ рдЪрд╛рд╣рддреЗ рд╣реИрдВ рдЬреЛ рдкреНрд░реЛрд╕реЗрд╕рд░ рдХреЛ рдЗрд╕ рдЖрд╡реГрддреНрддрд┐ рдкрд░ рдЪрд▓рдирд╛ рд╢реБрд░реВ рдХрд░рддрд╛ рд╣реИ - рдЙрджрд╛рд╣рд░рдг рдХреЗ рд▓рд┐рдП, рдХреНрдпреЛрдВрдХрд┐ рд╕рднреА рдЯрд╛рдЗрдорд░, рдпреВрдПрдЖрд░рдЯреА, рдФрд░ рдЕрдиреНрдп рдмрд╕реЛрдВ рдХреА рдЖрд╡реГрддреНрддрд┐ рдмрдВрдж рд╣реЛ рдЬрд╛рдПрдЧреА; рдЗрд╕рд▓рд┐рдП, рд╣рдо рдкрд╣рд▓реЗ рдСрдкрд░реЗрдЯрд┐рдВрдЧ рдЖрд╡реГрддреНрддрд┐рдпреЛрдВ рдХреЛ рдкреБрдирд░реНрд╕реНрдерд╛рдкрд┐рдд рдХрд░рддреЗ рд╣реИрдВ (рдпрд╛, рдпрджрд┐ рд╣рдо рдПрдордПрд╕рдЖрдИ рдкрд░ рд░рд╣рдирд╛ рдЪрд╛рд╣рддреЗ рд╣реИрдВ, рддреЛ 4.2 рдореЗрдЧрд╛рд╣рд░реНрдЯреНрдЬ рдХреЗ рддрд╣рдд рдЖрд╡рд╢реНрдпрдХ рдмрд╕реЛрдВ рдХреА рдкреБрдирд░реНрдЧрдгрдирд╛ рдХрд░реЗрдВ), рдФрд░ рдлрд┐рд░ рд╣рдо рдЕрд╡рд░реЛрдзреЛрдВ рдореЗрдВ рдЧреЛрддрд╛ рд▓рдЧрд╛рддреЗ рд╣реИрдВред

рд╡реНрдпрд╡рд╣рд╛рд░ рдореЗрдВ, рджреЛ рд╕рдмрд╕реЗ рдЕрдзрд┐рдХ рдЗрд╕реНрддреЗрдорд╛рд▓ рдХрд┐рдП рдЬрд╛рдиреЗ рд╡рд╛рд▓реЗ рдореЛрдб рд╣реИрдВ рд░рди рдФрд░ рд╕реНрдЯреЙрдкред рддрдереНрдп рдпрд╣ рд╣реИ рдХрд┐ рдПрд▓рдкреА рд░рди рджрд░реНрджрдирд╛рдХ рд░реВрдк рд╕реЗ рдзреАрдорд╛ рд╣реИ рдФрд░ рдЗрд╕рдХрд╛ рдХреЛрдИ рдорддрд▓рдм рдирд╣реАрдВ рд╣реИ рдЕрдЧрд░ рдкреНрд░реЛрд╕реЗрд╕рд░ рдХреЛ рдХреБрдЫ рдЧрдгрдирд╛ рдХрд░рдиреЗ рдХреА рдЖрд╡рд╢реНрдпрдХрддрд╛ рд╣реИ рдФрд░ рди рдХреЗрд╡рд▓ рдмрд╛рд╣рд░реА рдШрдЯрдирд╛рдУрдВ рдХреА рдкреНрд░рддреАрдХреНрд╖рд╛ рдХрд░реЗрдВ, рдФрд░ рд╕реНрд▓реАрдк рдФрд░ рдПрд▓рдкреА рд╕реНрд▓реАрдк рднреА рдХрд┐рдлрд╛рдпрддреА рдирд╣реАрдВ рд╣реИрдВ (2 рдПрдордП рддрдХ рдХреА рдЦрдкрдд) рдФрд░ рдпрджрд┐ рдЖрдкрдХреЛ рдЬрд╝рд░реВрд░рдд рд╣реИ рддреЛ рдЖрд╡рд╢реНрдпрдХ рд╣реИрдВ рдХрдо рд╕реЗ рдХрдо рдереЛрдбрд╝рд╛ рдмрдЪрд╛рдПрдВ, рд▓реЗрдХрд┐рди рдПрдХ рд╣реА рд╕рдордп рдореЗрдВ рдХрд╛рдо рдХрд░рдиреЗ рд╡рд╛рд▓реЗ рдмрд╛рд╣реНрдп рдЙрдкрдХрд░рдгреЛрдВ рдХреЛ рдЫреЛрдбрд╝ рджреЗрдВ рдФрд░ / рдпрд╛ рдШрдЯрдирд╛рдУрдВ рдХреЗ рд▓рд┐рдП рдкреНрд░реЛрд╕реЗрд╕рд░ рдХреА рд╕рдмрд╕реЗ рддреЗрдЬ рд╕рдВрднрд╡ рдкреНрд░рддрд┐рдХреНрд░рд┐рдпрд╛ рдкреНрд░рджрд╛рди рдХрд░реЗрдВред рдЗрд╕ рддрд░рд╣ рдХреА рдЖрд╡рд╢реНрдпрдХрддрд╛рдПрдВ рдореМрдЬреВрдж рд╣реИрдВ, рд▓реЗрдХрд┐рди рдкреВрд░реА рддрд░рд╣ рд╕реЗ рдмрд╣реБрдд рдмрд╛рд░ рдирд╣реАрдВред

рд╕реНрдЯреИрдВрдбрдмрд╛рдИ рдореЛрдб рдХрд╛ рдЖрдорддреМрд░ рдкрд░ рдЙрдкрдпреЛрдЧ рдирд╣реАрдВ рдХрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИ, рдХреНрдпреЛрдВрдХрд┐ рдпрд╣ рдЬрд╛рд░реА рд░рдЦрдиреЗ рдХреЗ рдмрд╛рдж рдЕрд╕рдВрднрд╡ рд╣реИ рдЬрд╣рд╛рдВ рд╕реЗ рдЖрдкрдиреЗ рд╢реВрдиреНрдп рд░реИрдо рдХреЗ рдХрд╛рд░рдг рдЫреЛрдбрд╝ рджрд┐рдпрд╛, рдмрд╛рд╣рд░реА рдЙрдкрдХрд░рдгреЛрдВ рдХреЗ рд╕рд╛рде рдХреБрдЫ рд╕рдорд╕реНрдпрд╛рдПрдВ рднреА рд╣реИрдВ, рдЬрд┐рдирдХреЗ рдмрд╛рд░реЗ рдореЗрдВ рд╣рдо рдиреАрдЪреЗ рдЪрд░реНрдЪрд╛ рдХрд░реЗрдВрдЧреЗ, рдЬрд┐рдирдХреЗ рд▓рд┐рдП рд╣рд╛рд░реНрдбрд╡реЗрдпрд░ рд╕рдорд╛рдзрд╛рди рдХреА рдЖрд╡рд╢реНрдпрдХрддрд╛ рд╣реЛрддреА рд╣реИред рд╣рд╛рд▓рд╛рдВрдХрд┐, рдЕрдЧрд░ рдбрд┐рд╡рд╛рдЗрд╕ рдХреЛ рдзреНрдпрд╛рди рдореЗрдВ рд░рдЦрддреЗ рд╣реБрдП рдбрд┐рдЬрд╛рдЗрди рдХрд┐рдпрд╛ рдЧрдпрд╛ рдерд╛, рддреЛ рд╕реНрдЯреИрдВрдбрдмрд╛рдИ рдХреЛ "рдСрдл" рдореЛрдб рдХреЗ рд░реВрдк рдореЗрдВ рдЗрд╕реНрддреЗрдорд╛рд▓ рдХрд┐рдпрд╛ рдЬрд╛ рд╕рдХрддрд╛ рд╣реИ, рдЙрджрд╛рд╣рд░рдг рдХреЗ рд▓рд┐рдП, рдЗрд╕ рдбрд┐рд╡рд╛рдЗрд╕ рдХреЗ рджреАрд░реНрдШрдХрд╛рд▓рд┐рдХ рднрдВрдбрд╛рд░рдг рдХреЗ рджреМрд░рд╛рдиред

рджрд░рдЕрд╕рд▓, рдЗрд╕ рдХреА рдкреНрд░рд╕реНрддреБрддрд┐ рдкрд░, рдЕрдзрд┐рдХрд╛рдВрд╢ рдореИрдиреБрдЕрд▓ рдЖрдорддреМрд░ рдкрд░ рд╡рд┐рдЬрдпреА рд░реВрдк рд╕реЗ рдЯреВрдЯ рдЬрд╛рддреЗ рд╣реИрдВред

рд╕рдорд╕реНрдпрд╛ рдпрд╣ рд╣реИ рдХрд┐, рдЙрдирдХреЗ рдмрд╛рдж, рдЖрдкрдХреЛ рдХрд╛рдо рдХреЗ рдШрдВрдЯреЛрдВ рдХреЗ рд╕рд╛рде рд╕реНрдЯреЙрдк рдореЗрдВ рдкреНрд░рд╕реНрддрд╛рд╡рд┐рдд 1.4 ╬╝A рдХреЗ рдмрдЬрд╛рдп рд╡рд╛рд╕реНрддрд╡рд┐рдХ рдЦрдкрдд рдХрд╛ рджреБ: рдЦрдж 100-200 ╬╝A рдорд┐рд▓реЗрдЧрд╛ - рдпрд╣рд╛рдВ рддрдХ тАЛтАЛрдХрд┐ рдиреНрдпреВрдХреНрд▓рд┐рдпреЛ рд╕рдВрджрд░реНрдн рдбрд┐рдмрдЧрд┐рдВрдЧ рдкрд░, рдЬрд┐рд╕рдореЗрдВ рдХреЛрдИ рдмрд╛рд╣рд░реА рдЪрд┐рдкреНрд╕, рд╕реЗрдВрд╕рд░ рдЖрджрд┐ рдирд╣реАрдВ рд╣реИрдВред рдЬрд┐рд╕рдХреЗ рд▓рд┐рдП рдЗрд╕реЗ рдЬрд┐рдореНрдореЗрджрд╛рд░ рдард╣рд░рд╛рдпрд╛ рдЬрд╛ рд╕рдХрддрд╛ рд╣реИред

рдФрд░ рдирд╣реАрдВ, рдЖрдкрдХрд╛ рдкреНрд░реЛрд╕реЗрд╕рд░ рдХрд╛рдо рдХрд░ рд░рд╣рд╛ рд╣реИ, рдЗрд░реЗрдЯрд╛ рдореЗрдВ рдХреБрдЫ рднреА рдирд╣реАрдВ рд╣реИ, рдФрд░ рдЖрдкрдиреЗ рд╕рдм рдХреБрдЫ рдареАрдХ рдХрд┐рдпрд╛ред

рдмрд╕ рдЕрдВрдд рддрдХ рдирд╣реАрдВред

рд░реЗрд╕реНрдЯрд▓реЗрд╕ рд▓реЗрдЧреНрд╕ рд╕рд┐рдВрдбреНрд░реЛрдо


рдкрд╣рд▓реА рд╕рдорд╕реНрдпрд╛ STM32L1, рдЬрд┐рд╕рдХреЗ рдмрд╛рд░реЗ рдореЗрдВ рдХреБрдЫ рд▓реЗрдЦреЛрдВ рдХрд╛ рдЙрд▓реНрд▓реЗрдЦ рд╣реИ, рд▓реЗрдХрд┐рди рдЕрдХреНрд╕рд░ рдХреЗрд╡рд▓ рдордВрдЪреЛрдВ рдкрд░ рдпрд╛рдж рдХрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИ, рдЬрдм рдЪрд░реНрдЪрд╛ рдХреЗ рддреАрд╕рд░реЗ рджрд┐рди, 100-200 ╬╝A рдХрд╣рд╛рдВ рд╕реЗ рдЖрдпрд╛ рдерд╛, рдХреЛрдИ рд╡реНрдпрдХреНрддрд┐ AN3430 рдХреЗ рдЕрд╕реНрддрд┐рддреНрд╡ рдХреЛ рдпрд╛рдж рдХрд░рддрд╛ рд╣реИ рдФрд░ рдЗрд╕рдореЗрдВ рдкреЗрдЬ 19 рдкрд░ рдкрд╣реБрдВрдЪрддрд╛ рд╣реИ - рдбрд┐рдлрд╝реЙрд▓реНрдЯ рд░реВрдк рд╕реЗ рдкреИрд░ рд░рд╛рдЬреНрдпред

рдореИрдВ рдзреНрдпрд╛рди рджреЗрддрд╛ рд╣реВрдВ рдХрд┐ рдпрд╣рд╛рдВ рддрдХ тАЛтАЛрдХрд┐ STMicro рднреА рдЖрд╕реНрддреАрди рдХреЗ рдорд╛рдзреНрдпрдо рд╕реЗ рдЗрд╕ рдореБрджреНрджреЗ рдХреЛ рд╕рдВрджрд░реНрднрд┐рдд рдХрд░рддрд╛ рд╣реИ, рдФрд░ рдЕрдзрд┐рдХрд╛рдВрд╢ рджрд╕реНрддрд╛рд╡реЗрдЬреЛрдВ рдореЗрдВ рдЬрд╣рд╛рдВ рдКрд░реНрдЬрд╛ рдХреА рдЦрдкрдд рдХрд╛ рдЕрдиреБрдХреВрд▓рди рдорд╛рдирд╛ рдЬрд╛рддрд╛ рд╣реИ, рдпрд╣ рдмрд┐рдирд╛ рдХрд┐рд╕реА рдХрд╛рд░рдг рдХреЗ рдЬрдореАрди рдкрд░ рдЕрдкреНрд░рдпреБрдХреНрдд рдкреИрд░реЛрдВ рдХреЛ рдЦреАрдВрдЪрдиреЗ рдпрд╛ рдПрдирд╛рд▓реЙрдЧ рдЗрдирдкреБрдЯ рдореЛрдб рдореЗрдВ рдбрд╛рд▓рдиреЗ рдХреА рд╕рд▓рд╛рд╣ рдХреЗ рд╕рд╛рде рдПрдХ рдпрд╛ рджреЛ рд╡рд╛рдХреНрдпрд╛рдВрд╢реЛрдВ рддрдХ рд╕реАрдорд┐рдд рд╣реИред

рджреБрдЦрдж рдмрд╛рдд рдпрд╣ рд╣реИ рдХрд┐ рдбрд┐рдлрд╝реЙрд▓реНрдЯ рд░реВрдк рд╕реЗ, рд╕рднреА рдкреИрд░реЛрдВ рдХреЛ рдбрд┐рдЬрд┐рдЯрд▓ рдЗрдирдкреБрдЯ (GPIOx_MODER рд░рдЬрд┐рд╕реНрдЯрд░ рдореЗрдВ 0x00) рдХреЗ рд░реВрдк рдореЗрдВ рдХреЙрдиреНрдлрд╝рд┐рдЧрд░ рдХрд┐рдпрд╛ рдЧрдпрд╛ рд╣реИред рд╢реНрдорд┐рдЯ рдЯреНрд░рд┐рдЧрд░ рд╣рдореЗрд╢рд╛ рдбрд┐рдЬрд┐рдЯрд▓ рдЗрдирдкреБрдЯ рдкрд░ рд╣реЛрддрд╛ рд╣реИ, рдЬреЛ рдЗрд╕ рдЗрдирдкреБрдЯ рдХреЗ рд╢реЛрд░ рдЙрдиреНрдореБрдХреНрддрд┐ рдореЗрдВ рд╕реБрдзрд╛рд░ рдХрд░рддрд╛ рд╣реИ, рдФрд░ рдпрд╣ рдкреВрд░реА рддрд░рд╣ рд╕реЗ рд╕реНрд╡рддрдВрддреНрд░ рд╣реИ - рдпрд╣ рдПрдХ рд╕рд░рд▓ рддрд░реНрдХ рддрддреНрд╡ рд╣реИ, рд╣рд┐рд╕реНрдЯреИрд░рд┐рд╕реАрд╕ рдХреЗ рд╕рд╛рде рдПрдХ рдмрдлрд░ рдЬрд┐рд╕реЗ рдмрд╛рд╣рд░реА рдХреНрд▓реЙрдХрд┐рдВрдЧ рдХреА рдЖрд╡рд╢реНрдпрдХрддрд╛ рдирд╣реАрдВ рд╣реЛрддреА рд╣реИред

рд╣рдорд╛рд░реЗ рдорд╛рдорд▓реЗ рдореЗрдВ, рдЗрд╕рдХрд╛ рдорддрд▓рдм рд╣реИ рдХрд┐ рд╣рдордиреЗ рд╕реНрдЯреЙрдк рдореЛрдб рдореЗрдВ рдШрдбрд╝реА рдмрдВрдж рдХрд░ рджреА, рдФрд░ рд╢реНрдорд┐рдд рдЯреНрд░рд┐рдЧрд░реНрд╕ рдХрд╛рдо рдХрд░рдирд╛ рдЬрд╛рд░реА рд░рдЦрд╛ рдЬреИрд╕реЗ рдХрд┐ рдХреБрдЫ рднреА рдирд╣реАрдВ рд╣реБрдЖ рдерд╛ - рдЗрдирдкреБрдЯ рд╕рд┐рдЧреНрдирд▓ рдХреЗ рд╕реНрддрд░ рдХреЗ рдЖрдзрд╛рд░ рдкрд░, рд╡реЗ рдЕрдкрдиреЗ рдЖрдЙрдЯрдкреБрдЯ рдХреЛ 0 рдФрд░ 1 рдкрд░ рд╕реНрд╡рд┐рдЪ рдХрд░рддреЗ рд╣реИрдВред

рдЗрд╕реА рд╕рдордп, рдПрдХ рд╡рд┐рд╢рд┐рд╖реНрдЯ рд╕рд░реНрдХрд┐рдЯ рдореЗрдВ рдкреНрд░реЛрд╕реЗрд╕рд░ рдкреИрд░реЛрдВ рдХрд╛ рд╣рд┐рд╕реНрд╕рд╛ рд╣рд╡рд╛ рдореЗрдВ рд▓рдЯрдХрд╛ рд╣реЛрддрд╛ рд╣реИ - рдЕрд░реНрдерд╛рдд, рдЙрди рдкрд░ рдХреЛрдИ рдмреБрджреНрдзрд┐рдорд╛рди рд╕рдВрдХреЗрдд рдирд╣реАрдВ рд╣реИред рдпрд╣ рд╕реЛрдЪрдирд╛ рдЧрд▓рдд рд╣реЛрдЧрд╛ рдХрд┐ рд╕реНрдкрд╖реНрдЯ рд╕рдВрдХреЗрдд рдХреА рдЕрдиреБрдкрд╕реНрдерд┐рддрд┐ рдХрд╛ рдорддрд▓рдм рд╣реИ рдХрд┐ рдЗрди рдкреИрд░реЛрдВ рдкрд░ 0 рдирд╣реАрдВ рд╣реИ, рдЗрди рдкреИрд░реЛрдВ рдкрд░ рдЕрдкрдиреЗ рдЙрдЪреНрдЪ рдЗрдирдкреБрдЯ рдкреНрд░рддрд┐рдмрд╛рдзрд╛ рдХреЗ рдХрд╛рд░рдг, рдПрдХ рдЕрдирд┐рд░реНрдзрд╛рд░рд┐рдд рдореВрд▓реНрдп рдХреЗ рдХреБрдЫ рдпрд╛рджреГрдЪреНрдЫрд┐рдХ рд╢реЛрд░ рд╣реИрдВ, рдкрд┐рдХрдЕрдк рд╕реЗ рдФрд░ рд╡рд░реНрддрдорд╛рди рдореЗрдВ рдкрдбрд╝реЛрд╕реА рдкрдЯрд░рд┐рдпреЛрдВ рд╕реЗ рдмрд╣рдиреЗ рд╡рд╛рд▓реЗ рдЯреЗрд▓реАрд╡рд┐рдЬрди рдХреЗ рдкрд╣рд▓реЗ рдЪреИрдирд▓ рдкрд░, рдпрджрд┐ рдкреИрд░ рдПрдВрдЯреАрдирд╛ рдХреЗ рд░реВрдк рдореЗрдВ рд╕реЗрд╡рд╛ рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рдкрд░реНрдпрд╛рдкреНрдд рд▓рдВрдмрд╛ рд╣реИ (рд╣рд╛рд▓рд╛рдВрдХрд┐, рд░реВрд╕ рдореЗрдВ рдПрдирд╛рд▓реЙрдЧ рдЯреАрд╡реА рдЬрд▓реНрдж рд╣реА рдмрдВрдж рд╣реЛ рдЬрд╛рдПрдВрдЧреЗ, рдЬрд┐рд╕рд╕реЗ рдЧрд▓рдд рддрд░реАрдХреЗ рд╕реЗ рдХреЙрдиреНрдлрд╝рд┐рдЧрд░ рдХрд┐рдП рдЧрдП рдорд╛рдЗрдХреНрд░реЛрдХрдВрдЯреНрд░реЛрд▓рд░реНрд╕ рдХреА рдмрд┐рдЬрд▓реА рдХреА рдЦрдкрдд рдореЗрдВ рдХреБрдЫ рдХрдореА рд╣реЛ рд╕рдХрддреА рд╣реИ)ред

рдЗрди рдЙрддрд╛рд░-рдЪрдврд╝рд╛рд╡ рдХреЗ рдЕрдиреБрд╕рд╛рд░, 0 рдФрд░ 1. CMOS рддрд░реНрдХ рдХреЗ рдмреАрдЪ рдХреБрдЫ рдпрд╛рджреГрдЪреНрдЫрд┐рдХ рддрд░реАрдХреЗ рд╕реЗ рдкреИрд░ рд╕реНрд╡рд┐рдЪ рдХрд░рддреЗ рд╕рдордп рдЪрд╛рд▓реВ рдЦрдкрдд рдХрд░рддрд╛ рд╣реИред рдпрд╣реА рд╣реИ, рдПрдХ рдкреНрд░реЛрд╕реЗрд╕рд░ рдкреИрд░ рд╣рд╡рд╛ рдореЗрдВ рд▓рдЯрдХрд╛ рд╣реБрдЖ рд╣реИ, рдЬреЛ рдбрд┐рдЬрд┐рдЯрд▓ рдЗрдирдкреБрдЯ рдореЛрдб рдореЗрдВ рдХреЙрдиреНрдлрд╝рд┐рдЧрд░ рдХрд┐рдпрд╛ рдЧрдпрд╛ рд╣реИ, рдЕрдкрдиреЗ рдЖрдк рдореЗрдВ рдзреНрдпрд╛рди рджреЗрдиреЗ рдпреЛрдЧреНрдп рд╡рд░реНрддрдорд╛рди рдХрд╛ рдЙрдкрднреЛрдЧ рдХрд░рддрд╛ рд╣реИ ред

рдЗрд╕рд╕реЗ рдмрд╛рд╣рд░ рдХрд╛ рд░рд╛рд╕реНрддрд╛ рд╕рд░рд▓ рд╣реИ - рдЬрдм рдЖрдк рдХрд╛рд░реНрдпрдХреНрд░рдо рд╢реБрд░реВ рдХрд░рддреЗ рд╣реИрдВ, рддреЛ рдЖрдкрдХреЛ рдПрдирд╛рд▓реЙрдЧ рдЗрдирдкреБрдЯ рдХреА рд╕реНрдерд┐рддрд┐ рдореЗрдВ рд╕рднреА рдкреИрд░реЛрдВ рдХреЛ рдХреЙрдиреНрдлрд╝рд┐рдЧрд░ рдХрд░рдиреЗ рдХреА рдЖрд╡рд╢реНрдпрдХрддрд╛ рд╣реЛрддреА рд╣реИ; STM32 рдореЗрдВ рдмрд┐рдирд╛ рдХрд┐рд╕реА рдЕрдкрд╡рд╛рдж рдХреЗ рд╕рднреА рдкреИрд░реЛрдВ рдХреЗ рд▓рд┐рдП рдФрдкрдЪрд╛рд░рд┐рдХ рд░реВрдк рд╕реЗ рд╣реИ, рднрд▓реЗ рд╣реА рд╡реЗ рдПрдбреАрд╕реА рд╕реЗ рдЬреБрдбрд╝реЗ рд╣реЛрдВ рдпрд╛ рдирд╣реАрдВ, рдФрд░ рдЗрдирдкреБрдЯ рдкрд░ рдХреЗрд╡рд▓ рд╢реНрдорд┐рдд рдЯреНрд░рд┐рдЧрд░ рдХреА рдЕрдиреБрдкрд╕реНрдерд┐рддрд┐ рдореЗрдВ рдбрд┐рдЬрд┐рдЯрд▓ рдЗрдирдкреБрдЯ рд╕реЗ рднрд┐рдиреНрди рд╣реЛрддрд╛ рд╣реИред



рдРрд╕рд╛ рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП, рдпрд╣ 0xFF ... FF рдХреЛ рд╕рднреА GPIOx_MODER рд░рдЬрд┐рд╕реНрдЯрд░реЛрдВ рдХреЗ рдорд╛рди рд▓рд┐рдЦрдиреЗ рдХреЗ рд▓рд┐рдП рдкрд░реНрдпрд╛рдкреНрдд рд╣реИ, рдРрд╕рд╛ рдХрд░рдирд╛ рд╕рдмрд╕реЗ рдЖрд╕рд╛рди рд╣реИ, рдЬреИрд╕рд╛ рдХрд┐ рдКрдкрд░ рдмрддрд╛рдпрд╛ рдЧрдпрд╛ рд╣реИ, рд╢реБрд░реВ рдореЗрдВ рд╣реА рд╕рд╣реА, рдФрд░ рдлрд┐рд░ рдЦреЗрд▓рдиреЗ рдХреЗ рджреМрд░рд╛рди рдЖрдк рдЗрд╕ рдбрд┐рд╡рд╛рдЗрд╕ рдореЗрдВ рдЖрд╡рд╢реНрдпрдХрддрд╛рдиреБрд╕рд╛рд░ рд╡реНрдпрдХреНрддрд┐рдЧрдд рдкреИрд░реЛрдВ рдХреЛ рдлрд┐рд░ рд╕реЗ рдХреЙрдиреНрдлрд╝рд┐рдЧрд░ рдХрд░реЗрдВрдЧреЗред

рд╣рд╛рд▓рд╛рдВрдХрд┐, рдПрдХ рджреВрд╕рд░реА рдХреНрд░рдо рдХреА рд╕рдорд╕реНрдпрд╛ рдЙрддреНрдкрдиреНрди рд╣реЛрддреА рд╣реИ - рдпрд╣ рдЕрдЪреНрдЫрд╛ рд╣реИ рдпрджрд┐ рдЖрдкрдХрд╛ рдлрд░реНрдорд╡реЗрдпрд░ рдПрдХ рд╡рд┐рд╢рд┐рд╖реНрдЯ рдирд┐рдпрдВрддреНрд░рдХ рдкрд░ рдХрд╛рдо рдХрд░рддрд╛ рд╣реИ, рдФрд░ рдЗрд╕рд▓рд┐рдП рдЖрдкрдХреЛ рд╣рдореЗрд╢рд╛ рдкрддрд╛ рд╣реИ рдХрд┐ GPIOx рдореЗрдВ x рдХреНрдпрд╛ рд╣реИред рдЗрд╕рд╕реЗ рднреА рдмрджрддрд░ рдЕрдЧрд░ рдлрд░реНрдорд╡реЗрдпрд░ рд╕рд╛рд░реНрд╡рднреМрдорд┐рдХ рд╣реИ - рдПрд╕рдЯреАрдПрдо 32 рдореЗрдВ рдЕрдзрд┐рдХрддрдо 8 рдкреЛрд░реНрдЯ рд╣реЛ рд╕рдХрддреЗ рд╣реИрдВ, рд▓реЗрдХрд┐рди рдпрд╣ рдЫреЛрдЯрд╛ рд╣реЛ рд╕рдХрддрд╛ рд╣реИ; рдЬрдм рдЖрдк рдирд┐рдпрдВрддреНрд░рдХ рдХреЗ рдЗрд╕ рдореЙрдбрд▓ рдореЗрдВ рдореМрдЬреВрдж рдкреЛрд░реНрдЯ рдкрд░ рд▓рд┐рдЦрдиреЗ рдХрд╛ рдкреНрд░рдпрд╛рд╕ рдХрд░рддреЗ рд╣реИрдВ, рддреЛ рдЖрдкрдХреЛ рд╣рд╛рд░реНрдб рдлрд╛рд▓реНрдЯ рдорд┐рд▓реЗрдЧрд╛, рдЕрд░реНрдерд╛рддред рдХрд░реНрдиреЗрд▓ рджреБрд░реНрдШрдЯрдирд╛ред

рд╣рд╛рд▓рд╛рдВрдХрд┐, рдпрд╣рд╛рдВ рддрдХ тАЛтАЛрдХрд┐ рдЗрд╕ рдорд╛рдорд▓реЗ рдХреЛ рднреА рджрд░рдХрд┐рдирд╛рд░ рдХрд┐рдпрд╛ рдЬрд╛ рд╕рдХрддрд╛ рд╣реИ - рдХреЛрд░реНрдЯреЗрдХреНрд╕-рдПрдо рдЖрдкрдХреЛ рдПрдо 3 рдФрд░ рдПрдо 4 рдХреЗ рдорд╛рдорд▓реЗ рдореЗрдВ рдЙрдирдХреА рд╡реИрдзрддрд╛, рдЗрд╕рдХреЗ рдЕрд▓рд╛рд╡рд╛, рдкрддреЗ рдХреА рдЬрд╛рдВрдЪ рдХрд░рдиреЗ рдХреА рдЕрдиреБрдорддрд┐ рджреЗрддрд╛ рд╣реИ, рдЪреЗрдХ рдЖрдо рддреМрд░ рдкрд░ рдХрд╛рдлреА рддреБрдЪреНрдЫ рд╣реИ, рд▓реЗрдХрд┐рди рдПрдо 0 рдкрд░ рдЗрд╕реЗ рдХреБрдЫ рдЬрд╛рджреВ рдХреА рдЖрд╡рд╢реНрдпрдХрддрд╛ рд╣реЛрддреА рд╣реИ, рд▓реЗрдХрд┐рди рдЗрд╕реЗ рд╕рд╛рдХрд╛рд░ рдХрд┐рдпрд╛ рдЬрд╛ рд╕рдХрддрд╛ рд╣реИ ( рд╡рд┐рд╡рд░рдг рдпрд╣рд╛рдВ рдкрдврд╝рд╛ рдЬрд╛ рд╕рдХрддрд╛ рд╣реИ , рд╣рдо рдЗрд╕ рд▓реЗрдЦ рдХрд╛ рдкреНрд░рд╢рдВрд╕рдХ рдирд╣реАрдВ рд╣реЛрдВрдЧреЗред )ред

рдпрд╣реА рд╣реИ, рд╕рд╛рдорд╛рдиреНрдп рддреМрд░ рдкрд░, рдкреНрд░реЛрд╕реЗрд╕рд░ рдиреЗ рд╢реБрд░реВ рдХрд┐рдпрд╛, рдЖрд╡реГрддреНрддрд┐рдпреЛрдВ рдХреЛ рдЯреНрдпреВрди рдХрд┐рдпрд╛ - рдФрд░ рддреБрд░рдВрдд рд╕рднреА рдЙрдкрд▓рдмреНрдз GPIO рдкреЛрд░реНрдЯ рдХреЗ рдорд╛рдзреНрдпрдо рд╕реЗ рдЪрд▓рд╛ рдЧрдпрд╛, рдЙрдиреНрд╣реЗрдВ MODER рд╡рд╛рд▓реЗ рдХреЛ рд▓рд┐рдЦ рджрд┐рдпрд╛ (рдиреАрдЪреЗ рдХреЛрдб RIOT OS рдХреЗ рд▓рд┐рдП рд▓рд┐рдЦрд╛ рдЧрдпрд╛ рд╣реИ, рд▓реЗрдХрд┐рди рд╕рд╛рдорд╛рдиреНрдп рддреМрд░ рдкрд░ рдпрд╣ рдмрд┐рдирд╛ рдЯрд┐рдкреНрдкрдгреА рдХреЗ рд╕реНрдкрд╖реНрдЯ рд╣реИ рдФрд░ рддреАрди рдорд┐рдирдЯ рдореЗрдВ рд╕реНрдерд╛рдирд╛рдВрддрд░рд┐рдд рдХрд┐рдпрд╛ рдЬрд╛ рд╕рдХрддрд╛ рд╣реИред рдХрд┐рд╕реА рднреА рдЕрдиреНрдп рдордВрдЪ)ред

 #if defined(CPU_FAM_STM32L1) /* switch all GPIOs to AIN mode to minimize power consumption */ GPIO_TypeDef *port; /* enable GPIO clock */ uint32_t ahb_gpio_clocks = RCC->AHBENR & 0xFF; periph_clk_en(AHB, 0xFF); for (uint8_t i = 0; i < 8; i++) { port = (GPIO_TypeDef *)(GPIOA_BASE + i*(GPIOB_BASE - GPIOA_BASE)); if (cpu_check_address((char *)port)) { port->MODER = 0xffffffff; } else { break; } } /* restore GPIO clock */ uint32_t tmpreg = RCC->AHBENR; tmpreg &= ~((uint32_t)0xFF); tmpreg |= ahb_gpio_clocks; periph_clk_en(AHB, tmpreg); #endif 

рдореИрдВ рдзреНрдпрд╛рди рджреЗрддрд╛ рд╣реВрдВ рдХрд┐ рдпрд╣ рдХреЗрд╡рд▓ L1 рд╢реНрд░реГрдВрдЦрд▓рд╛ рдкрд░ рд▓рд╛рдЧреВ рд╣реЛрддрд╛ рд╣реИ, L0 рдФрд░ L4 рдореЗрдВ рдЕрдиреБрднрд╡ рдХреЛ рдзреНрдпрд╛рди рдореЗрдВ рд░рдЦрд╛ рдЧрдпрд╛ рдерд╛, рдФрд░ рд╡реЗ, рдбрд┐рдлрд╝реЙрд▓реНрдЯ рд░реВрдк рд╕реЗ, рд╕реНрдЯрд╛рд░реНрдЯрдЕрдк рдкрд░ рдПрдирд╛рд▓реЙрдЧ рдЗрдирдкреБрдЯ рдХреЗ рд░реВрдк рдореЗрдВ рд╕рднреА рдмрдВрджрд░рдЧрд╛рд╣реЛрдВ рдХреЛ рдХреЙрдиреНрдлрд╝рд┐рдЧрд░ рдХрд░рддреЗ рд╣реИрдВред

рдЗрди рд╕рднреА рдкреНрд░рдХреНрд░рд┐рдпрд╛рдУрдВ рдХреЛ рдзреНрдпрд╛рди рд╕реЗ рдХрд░рдиреЗ рдХреЗ рдмрд╛рдж, рдЖрдк рдлрд░реНрдорд╡реЗрдпрд░ рдХреЛ рддреИрдпрд╛рд░ рдбрд┐рд╡рд╛рдЗрд╕ рдореЗрдВ рднрд░ рджреЗрдВ ... рдФрд░ рдкреНрд░реЛрд╕реЗрд╕рд░ рдкрд░ рд╕реНрдЯреЙрдк рдореЛрдб рдореЗрдВ 150 рдпреВрдП рдкреНрд░рд╛рдкреНрдд рдХрд░реЗрдВ рдФрд░ рд╕рднреА рдмрд╛рд╣рд░реА рдЪрд┐рдкреНрд╕ рдмрдВрдж рд╣реЛ рдЧрдП, рдЗрд╕ рддрдереНрдп рдХреЗ рдмрд╛рд╡рдЬреВрдж рдХрд┐ рдЖрдкрдХреЗ рдЕрдиреБрдорд╛рди рд╕рдмрд╕реЗ рдирд┐рд░рд╛рд╢рд╛рд╡рд╛рджреА рд╣реИрдВ, рдЙрди рд╕рднреА рдЪреАрдЬрд╝реЛрдВ рдкрд░ рдбреЗрдЯрд╛рд╢реАрдЯ рд╕реЗ рдЖ рд░рд╣реЗ рд╣реИрдВ рдЬрд┐рдиреНрд╣реЗрдВ рдЖрдкрдиреЗ рдмреЛрд░реНрдб рдкрд░ рдорд┐рд▓рд╛рдпрд╛ рд╣реИ 10 ╬╝A рд╕реЗ рдЕрдзрд┐рдХ рди рджреЗрдВред

рдЗрд╕рдХреЗ рдЕрд▓рд╛рд╡рд╛, рдЖрдк рдкреНрд░реЛрд╕реЗрд╕рд░ рдХреЛ рд╕реНрдЯреЙрдк рдХреЗ рдмрдЬрд╛рдп рд╕реНрдЯреИрдВрдбрдмрд╛рдп рдореЗрдВ рд▓реЗ рдЬрд╛рдиреЗ рдХреА рдХреЛрд╢рд┐рд╢ рдХрд░рддреЗ рд╣реИрдВ, рдЕрд░реНрдерд╛рддред рдмрд╕ рдЗрд╕реЗ рд▓рдЧрднрдЧ рдкреВрд░реА рддрд░рд╣ рд╕реЗ рдмрдВрдж рдХрд░ рджреЗрдВ - рдФрд░ рдЧрд┐рд░рдиреЗ рдХреЗ рдмрдЬрд╛рдп, рдмрд┐рдЬрд▓реА рдХреА рдЦрдкрдд рддреАрди рдЧреБрдирд╛ рдмрдврд╝ рдЬрд╛рддреА рд╣реИ, рдЬреЛ рдЖрдзрд╛ рдорд┐рд▓реАрдкрд┐рдпрд░ рдХреЗ рдХрд░реАрдм рдкрд╣реБрдВрдЪ рдЬрд╛рддреА рд╣реИ!

рдШрдмрд░рд╛рдиреЗ рдХреА рдЬрд░реВрд░рдд рдирд╣реАрдВред рдЬреИрд╕рд╛ рдХрд┐ рдЖрдкрдиреЗ рдЕрдиреБрдорд╛рди рд▓рдЧрд╛рдпрд╛ рд╣реЛрдЧрд╛, рдЖрдкрдиреЗ рд╕рдм рдХреБрдЫ рдареАрдХ рдХрд┐рдпрд╛ред рд▓реЗрдХрд┐рди рдЕрдВрдд рддрдХ рдирд╣реАрдВред

рд░реЗрд╕реНрдЯрд▓реЗрд╕ рд▓реЗрдЧ рд╕рд┐рдВрдбреНрд░реЛрдо - 2


рдЕрдЧрд▓реА рд╕рдорд╕реНрдпрд╛ рдХреЗ рджреЛ рднрд╛рдЧ рд╣реИрдВред

рдкрд╣рд▓рд╛ рдХрд╛рдлреА рд╕реНрдкрд╖реНрдЯ рд╣реИ: рдпрджрд┐ рдЖрдкрдХреЗ рдбрд┐рд╡рд╛рдЗрд╕ рдореЗрдВ рдПрдХ рдорд╛рдЗрдХреНрд░реЛрдХрдВрдЯреНрд░реЛрд▓рд░ рд╢рд╛рдорд┐рд▓ рдирд╣реАрдВ рд╣реИ, рддреЛ рдпрд╣ рднреВрд▓рдирд╛ рдорд╣рддреНрд╡рдкреВрд░реНрдг рдирд╣реАрдВ рд╣реИ рдХрд┐ рдмрд╛рд╣рд░реА рдЪрд┐рдкреНрд╕ рдореЗрдВ рдЗрдирдкреБрдЯ рд╕рд┐рдЧреНрдирд▓ рднреА рд╣реЛрддреЗ рд╣реИрдВ, рдЬрд┐рд╕ рдкрд░ рд╢реНрдорд┐рдЯ рдЯреНрд░рд┐рдЧрд░ рд▓рдЯрдХрд╛ рд╣реЛрддрд╛ рд╣реИ, рдФрд░ рдЬреЛ рдЗрд╕рдХреЗ рдЕрд▓рд╛рд╡рд╛, рдЪрд┐рдк рдХреЗ рдЖрдВрддрд░рд┐рдХ рддрд░реНрдХ рдХреЛ рдЬрдЧрд╛ рд╕рдХрддрд╛ рд╣реИред рдЙрджрд╛рд╣рд░рдг рдХреЗ рд▓рд┐рдП, рдПрдХ рдЪрд┐рдк рдЬрд┐рд╕реЗ рдпреВрдПрдЖрд░рдЯреА рдЯреАрдо рджреНрд╡рд╛рд░рд╛ рдЕрдкрдиреА рдиреАрдВрдж рд╕реЗ рд╣рдЯрд╛ рджрд┐рдпрд╛ рдЧрдпрд╛ рд╣реИ рдФрд░ рд╣рдЯрд╛ рджрд┐рдпрд╛ рдЧрдпрд╛ рд╣реИ, рдЗрд╕ рдмрд╕ рдкрд░ рдХрд┐рд╕реА рднреА рдЖрдВрджреЛрд▓рди рдХреЗ рд╕рд╛рде рдбреЗрдЯрд╛ рдХреЛ рдкрдврд╝рдиреЗ рдХреА рдХреЛрд╢рд┐рд╢ рдХрд░реЗрдЧрд╛ред

рддрджрдиреБрд╕рд╛рд░, рдпрджрд┐ рдЗрди рд╕рднреА рдкреИрд░реЛрдВ рдХреЛ рд╣рд╡рд╛ рдореЗрдВ рд▓рдЯрдХрд╛ рджрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИ, рддреЛ рд╣рдореЗрдВ рдХреБрдЫ рднреА рдЕрдЪреНрдЫрд╛ рдирд╣реАрдВ рдорд┐рд▓реЗрдЧрд╛ред

рд╡реЗ рдХрд┐рди рдкрд░рд┐рд╕реНрдерд┐рддрд┐рдпреЛрдВ рдореЗрдВ рд╣рд╡рд╛ рдореЗрдВ рдЦрддреНрдо рд╣реЛрддреЗ рд╣реИрдВ?

рд╕рдмрд╕реЗ рдкрд╣рд▓реЗ, рдЬрдм рдирд┐рдпрдВрддреНрд░рдХ рд╕реНрдЯреИрдВрдбрдмрд╛рдп рдореЛрдб рдореЗрдВ рдЬрд╛рддрд╛ рд╣реИ, рддреЛ рд╕рднреА рдЬреАрдкреАрдЖрдИрдУ рдХреЛ рдЙрдЪреНрдЪ рдкреНрд░рддрд┐рд░реЛрдз рдХреЗ рд╕рд╛рде рд╣рд╛рдИ-рдЬреЗрдб рд░рд╛рдЬреНрдп рдореЗрдВ рд╕реНрдерд╛рдирд╛рдВрддрд░рд┐рдд рдХрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИ - рдЕрд░реНрдерд╛рдд, рд╡рд╛рд╕реНрддрд╡ рдореЗрдВ рдЙрдирд╕реЗ рдЬреБрдбрд╝реЗ рдмрд╛рд╣рд░реА рдЪрд┐рдкреНрд╕ рд╣рд╡рд╛ рдореЗрдВ рд╣реИрдВред STM32L1 (рдЕрдиреНрдп рд╢реНрд░рдВрдЦрд▓рд╛ рдФрд░ рдЕрдиреНрдп рдирд┐рдпрдВрддреНрд░рдХреЛрдВ рдореЗрдВ рдпрд╣ рдЕрд▓рдЧ-рдЕрд▓рдЧ рддрд░реАрдХреЛрдВ рд╕реЗ рд╣реЛрддрд╛ рд╣реИ) рдореЗрдВ рдЗрд╕ рдкреНрд░реЛрдЧреНрд░рд╛рдо рдХреЛ рдареАрдХ рдХрд░рдирд╛ рдЕрд╕рдВрднрд╡ рд╣реИ, рдЗрд╕рд▓рд┐рдП рдПрдХрдорд╛рддреНрд░ рддрд░реАрдХрд╛ рдПрдХ рд╕рд┐рд╕реНрдЯрдо рдореЗрдВ рд╣реИ рдЬреЛ рд╕реНрдЯреИрдВрдбрдмрд╛рдИ рдореЛрдб рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░рддрд╛ рд╣реИ, рдмрд╛рд╣рд░реА рдЪрд┐рдкреНрд╕ рдХреЗ рдЗрдирдкреБрдЯ рдХреЛ рдЬрдореАрди рдкрд░ рдпрд╛ рдмрд╛рд╣рд░реА рдкреНрд░рддрд┐рд░реЛрдзреЛрдВ рджреНрд╡рд╛рд░рд╛ рдЦреАрдВрдЪрд╛ рдЬрд╛рдирд╛ рдЪрд╛рд╣рд┐рдПред

рдПрдХ рд╡рд┐рд╢рд┐рд╖реНрдЯ рд╕реНрддрд░ рдЪреБрдирд╛ рдЬрд╛рддрд╛ рд╣реИ рддрд╛рдХрд┐ рдЪрд┐рдк рдХреЗ рджреГрд╖реНрдЯрд┐рдХреЛрдг рд╕реЗ рд▓рд╛рдЗрди рдирд┐рд╖реНрдХреНрд░рд┐рдп рд╣реЛ:

  • 1 UART TX рдХреЗ рд▓рд┐рдП
  • рдПрд╕рдкреАрдЖрдИ рдореЛрд╕реА рдХреЗ рд▓рд┐рдП 0
  • SPI рдореЛрдб 0 рдпрд╛ 1 рдкрд░ SPI CLK рдХреЗ рд▓рд┐рдП 0
  • SPI рдореЛрдб 2 рдпрд╛ 3 рдХреЗ рд╕рд╛рде SPI CLK рдХреЗ рд▓рд┐рдП 1
  • рдПрд╕рдкреАрдЖрдИ рд╕реАрдПрд╕ рдХреЗ рд▓рд┐рдП 1

рджреВрд╕рд░реЗ, рд╕реНрдЯреЙрдк (sic!) рдореЛрдб рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░рддреЗ рд╕рдордп STM32 рдкрд░, рдЗрдВрдЯрд░рдлреЗрд╕ рдХреЗ рдЖрдВрддрд░рд┐рдХ рд╣рд╛рд░реНрдбрд╡реЗрдпрд░ рдмреНрд▓реЙрдХ рд╕реЗ рдЬреБрдбрд╝реЗ GPIO рдХреА рд╕реНрдерд┐рддрд┐ рдЕрд▓рдЧ рд╣реЛ рд╕рдХрддреА рд╣реИ ... рдЕрд▓рдЧред рдпрд╣реА рд╣реИ, рдПрдХ рд╣реА рдПрд╕рдкреАрдЖрдИ рдЗрдВрдЯрд░рдлрд╝реЗрд╕, рдЬрдм рдХреЙрдиреНрдлрд╝рд┐рдЧрд░ рдХрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИ, рд╕реНрдЯреЙрдк рдЕрдЪрд╛рдирдХ рдПрдХ рдбрд┐рдЬрд┐рдЯрд▓ рдЗрдирдкреБрдЯ рдХреЗ рд░реВрдк рдореЗрдВ рдирд┐рдХрд▓рддрд╛ рд╣реИ, рдпрд╛, рд╕рд╛рдорд╛рдиреНрдп рд░реВрдк рд╕реЗ, рдЙрдЪреНрдЪ-рдЬреЗрдб - рдмрд╛рд╣рд░реА рдЪрд┐рдк рдХреЗ рд▓рд┐рдП рд╕рдВрдмрдВрдзрд┐рдд рдкрд░рд┐рдгрд╛рдореЛрдВ рдХреЗ рд╕рд╛рде рд▓рдЯрдХрд╛ рд╣реБрдЖ рд╣реИред рд╣рд╛рд▓рд╛рдВрдХрд┐ рдкреНрд░рд▓реЗрдЦрди рдореЗрдВ рдХрд╣рд╛ рдЧрдпрд╛ рд╣реИ рдХрд┐ рдкреИрд░ рдЕрдЪреНрдЫреА рд╕реНрдерд┐рддрд┐ рдореЗрдВ рд╣реИрдВ, рдЖрдк рдПрдХ рдкреНрд░рд╛рдердорд┐рдХрддрд╛ рдХреЗрд╡рд▓ рдЗрд╕ рдкрд░ рднрд░реЛрд╕рд╛ рдХрд░ рд╕рдХрддреЗ рд╣реИрдВ рдпрджрд┐ рдЖрдк рдЕрдкрдиреЗ рдкреИрд░реЛрдВ рдХрд╛ рдЙрдкрдпреЛрдЧ рдирд┐рдпрдорд┐рдд GPIOs рдХреЗ рд░реВрдк рдореЗрдВ рдХрд░рддреЗ рд╣реИрдВред

рдЖрдк рдЗрд╕реЗ рд╕рдордЭ рдирд╣реАрдВ рд╕рдХрддреЗ рдФрд░ рдЗрд╕реЗ рдорд╛рдл рдХрд░ рд╕рдХрддреЗ рд╣реИрдВ, рд▓реЗрдХрд┐рди рдЖрдк рдЗрд╕реЗ рдпрд╛рдж рдХрд░ рд╕рдХрддреЗ рд╣реИрдВ рдФрд░ рдЗрд╕реЗ рдареАрдХ рдХрд░ рд╕рдХрддреЗ рд╣реИрдВ: рдЗрд╕ рддрд░рд╣ рд╕реЗ рд╡реНрдпрд╡рд╣рд╛рд░ рдХрд░рдиреЗ рд╡рд╛рд▓реЗ рдЗрдВрдЯрд░рдлреЗрд╕ рдХреЗ рд▓рд┐рдП, рдЖрдкрдХреЛ рд╕реНрд▓реАрдк рдХреЗрдпрд░ рдлрд╝рдВрдХреНрд╢рди рдореЗрдВ рдЗрд╕ рдЗрдВрдЯрд░рдлрд╝реЗрд╕ рдХреЗ рдирд┐рд╖реНрдХреНрд░рд┐рдп рд╕реНрддрд░реЛрдВ рдХреЗ рдЕрдиреБрд░реВрдк рд╕реНрддрд░реЛрдВ рдХреЗ рд╕рд╛рде рд╕рд╛рдорд╛рдиреНрдп GPIO рдореЗрдВ рд╕реНрд╡рд┐рдЪрд┐рдВрдЧ рдХреЛ рдЬреЛрдбрд╝рдирд╛ рд╣реЛрдЧрд╛ред рдиреАрдВрдж рд╕реЗ рдмрд╛рд╣рд░ рдирд┐рдХрд▓рдиреЗ рдХреЗ рдмрд╛рдж, рдЗрдВрдЯрд░рдлреЗрд╕ рдХреЛ рдмрд╣рд╛рд▓ рдХрд┐рдпрд╛ рдЬрд╛ рд╕рдХрддрд╛ рд╣реИред

рдЙрджрд╛рд╣рд░рдг рдХреЗ рд▓рд┐рдП, рд╕реЛрдиреЗ рдХреЗ рд▓рд┐рдП рдЬрд╛рдиреЗ рд╕реЗ рдкрд╣рд▓реЗ рдПрдХ рд╣реА рдПрд╕рдкреАрдЖрдИ (рд╕рд╛рджрдЧреА рдХреЗ рд▓рд┐рдП, рдореИрдВ рдЖрд░рдЖрдИрдУрдЯреА рдУрдПрд╕ рд╕реЗ рдХреЛрдб рд▓реЗрддрд╛ рд╣реВрдВ, рдпрд╣ рд╕реНрдкрд╖реНрдЯ рд╣реИ рдХрд┐ рд░рдЬрд┐рд╕реНрдЯрд░реЛрдВ рдкрд░ рд▓рд╛рдЧреВ рдХрд░рдирд╛ рдЖрд╕рд╛рди рд╣реИ):

 /* specifically set GPIOs used for external SPI devices */ /* MOSI = 0, SCK = 0, MISO = AIN for SPI Mode 0 & 1 (CPOL = 0) */ /* MOSI = 0, SCK = 1, MISO = AIN for SPI Mode 2 & 3 (CPOL = 1) */ for (i = 0; i < SPI_NUMOF; i++) { /* check if SPI is in use */ if (is_periph_clk(spi_config[i].apbbus, spi_config[i].rccmask) == 1) { /* SPI CLK polarity */ if (spi_config[i].dev->CR1 & (1<<1)) { gpio_init(spi_config[i].sclk_pin, GPIO_IN_PU); } else { gpio_init(spi_config[i].sclk_pin, GPIO_IN_PD); } gpio_init(spi_config[i].mosi_pin, GPIO_IN_PD); gpio_init(spi_config[i].miso_pin, GPIO_AIN); } } 

рдХреГрдкрдпрд╛ рдзреНрдпрд╛рди рджреЗрдВ рдХрд┐ рдпрд╣рд╛рдБ рджрд┐рдП рдЧрдП рдЖрдЙрдЯрдкреБрдЯ рдХреЛ рд╕реНрддрд░ 0 рдпрд╛ 1 рдХреЗ рд╕рд╛рде GPIO_OUT рдХреЗ рд░реВрдк рдореЗрдВ рдХреЙрдиреНрдлрд╝рд┐рдЧрд░ рдирд╣реАрдВ рдХрд┐рдпрд╛ рдЧрдпрд╛ рд╣реИ, рд▓реЗрдХрд┐рди 0 рдпрд╛ 1 рддрдХ рдкреБрд▓-рдЕрдк рдХреЗ рд╕рд╛рде рдЗрдирдкреБрдЯ рдХреЗ рд░реВрдк рдореЗрдВ - рдпрд╣ рдПрдХ рдореВрд▓рднреВрдд рдмрд┐рдВрджреБ рдирд╣реАрдВ рд╣реИ, рд▓реЗрдХрд┐рди рдпрджрд┐ рдЖрдк рдЧрд▓рддреА рдХрд░рддреЗ рд╣реИрдВ рдФрд░ рдХрд┐рд╕реА рддрд░рд╣ рдХрд╛ рдкреБрд▓-рдкреБрд╢ рдЦреЗрд▓рдиреЗ рдХреА рдХреЛрд╢рд┐рд╢ рдХрд░рддреЗ рд╣реИрдВ рддреЛ рдЕрддрд┐рд░рд┐рдХреНрдд рд╕реБрд░рдХреНрд╖рд╛ рдкреНрд░рджрд╛рди рдХрд░рддрд╛ рд╣реИред рдПрдХ рдмрд╛рд╣рд░реА рдЪрд┐рдк рдЗрд╕ рдкреИрд░ рдХреЛ рджреВрд╕рд░реЗ рддрд░реАрдХреЗ рд╕реЗ рдЦреАрдВрдЪрддреА рд╣реИред GPIO_OUT рдХреЗ рд╕рд╛рде рдЖрдк рдПрдХ рд╢реЙрд░реНрдЯ рд╕рд░реНрдХрд┐рдЯ рдХреА рд╡реНрдпрд╡рд╕реНрдерд╛ рдХрд░ рд╕рдХрддреЗ рд╣реИрдВ, GPIO_IN рдХреЗ рд╕рд╛рде рдПрдХ рдкреБрд▓-рдЕрдк - рдХрднреА рдирд╣реАрдВред

рдЗрд╕рдХреЗ рдЕрд▓рд╛рд╡рд╛, рдПрд╕рдкреАрдЖрдИ рд╕реАрдПрд╕ рд╕рд┐рдЧреНрдирд▓ рдкреНрд░рднрд╛рд╡рд┐рдд рдирд╣реАрдВ рд╣реЛрддрд╛ рд╣реИ - рдЗрд╕ рдорд╛рдорд▓реЗ рдореЗрдВ, рдпрд╣ рдкреНрд░реЛрдЧреНрд░рд╛рдорд┐рдХ рд░реВрдк рд╕реЗ рдЙрддреНрдкрдиреНрди рд╣реЛрддрд╛ рд╣реИ, рдЕрд░реНрдерд╛рдд, рд╕рд╛рдорд╛рдиреНрдп рдЬреАрдкреАрдЖрдИрдУ рджреНрд╡рд╛рд░рд╛, рдФрд░ рдпрд╣ рдЕрдкрдиреЗ рд░рд╛рдЬреНрдп рдХреЛ рдЖрддреНрдорд╡рд┐рд╢реНрд╡рд╛рд╕ рд╕реЗ рд╕рдкрдиреЗ рдореЗрдВ рд░рдЦрддрд╛ рд╣реИред

рдиреАрдВрдж рдЫреЛрдбрд╝рддреЗ рд╕рдордп рдкреИрд░ рдХреА рд╕реНрдерд┐рддрд┐ рдХреЛ рдмрд╣рд╛рд▓ рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП, рд░рдЬрд┐рд╕реНрдЯрд░реЛрдВ рдХреЗ рдореВрд▓реНрдпреЛрдВ рдХреЛ рд▓рд┐рдЦрдирд╛ рдкрд░реНрдпрд╛рдкреНрдд рд╣реИ (MODER, PUPDR, OTYPER, OSPEEDR - рдХрд┐рд╕реА рд╡рд┐рд╢реЗрд╖ рдорд╛рдорд▓реЗ рдореЗрдВ рд╕реНрдерд┐рддрд┐ рджреЗрдЦреЗрдВ), рдкреНрд░рд╡реЗрд╢ рджреНрд╡рд╛рд░ рдкрд░, рдЪрд░ рдореЗрдВ, рдФрд░ рдЙрдиреНрд╣реЗрдВ рдЪрд░ рдореЗрдВ рд╡рд╛рдкрд╕ рдЬрд╛рдиреЗ рдкрд░ рд░рдЬрд┐рд╕реНрдЯрд░реЛрдВ рдореЗрдВ рд░реЛрд▓ рдХрд░реЗрдВред ред

рдФрд░ рдЕрдм ... рддрд╛ рджрд╛рдо! рд╢реАрд░реНрд╖рдХ рдЪрд┐рддреНрд░ред рдбреЗрдврд╝ рдорд╛рдЗрдХреНрд░реЛрдкреНрд░реИрд╕ред

рд▓реЗрдХрд┐рди рдпрд╣ рдЬрд╢реНрди рдордирд╛рдиреЗ рдХреЗ рд▓рд┐рдП рдмрд╣реБрдд рдЬрд▓реНрджреА рд╣реИред рдЗрд╕ рдкрд░ рд╣рдордиреЗ рдКрд░реНрдЬрд╛ рдЦрдкрдд рдХреЗ рд╕реНрдерд┐рд░ рдЕрдиреБрдХреВрд▓рди рдХреЛ рд╕рдорд╛рдкреНрдд рдХрд░ рджрд┐рдпрд╛ рд╣реИ, рдФрд░ рд╣рдорд╛рд░реЗ рдЖрдЧреЗ рдЧрддрд┐рд╢реАрд▓ рд╣реИ ред

рдЕрдХрд┐рд▓рд┐рд╕ рдмрдирд╛рдо рдХрдЫреБрдЖ


рдХреМрди рд╕рд╛ рдмреЗрд╣рддрд░ рд╣реИ - рдЕрдзрд┐рдХ рдЦрд╛рдПрдВ рдФрд░ рддреЗрдЬреА рд╕реЗ рдЪрд▓рд╛рдПрдВ рдпрд╛ рдХрдо рдЦрд╛рдПрдВ, рд▓реЗрдХрд┐рди рдзреАрдореА рдЧрддрд┐ рд╕реЗ рдЪрд▓рд╛рдПрдВ? рдорд╛рдЗрдХреНрд░реЛрдХрдВрдЯреНрд░реЛрд▓рд░реНрд╕ рдХреЗ рдорд╛рдорд▓реЗ рдореЗрдВ, рдЗрд╕ рд╕рд╡рд╛рд▓ рдХрд╛ рдЬрд╡рд╛рдм рджреЛ рдмрд╛рд░ рдЕрдиреМрдкрдЪрд╛рд░рд┐рдХ рд╣реИред

рд╕рдмрд╕реЗ рдкрд╣рд▓реЗ, рдСрдкрд░реЗрдЯрд┐рдВрдЧ рдЖрд╡реГрддреНрддрд┐рдпреЛрдВ рдХреЛ рд╕рд╛рдорд╛рдиреНрдп рдореЛрдб рдореЗрдВ 65 рдореЗрдЧрд╛рд╣рд░реНрдЯреНрдЬ (рдПрд▓рдкреА рд░рди) рд╕реЗ 32 рдореЗрдЧрд╛рд╣рд░реНрдЯреНрдЬ рддрдХ - рдмрд╣реБрдд рд╡реНрдпрд╛рдкрдХ рд░реЗрдВрдЬ рдореЗрдВ рдмрджрд▓рд╛ рдЬрд╛ рд╕рдХрддрд╛ рд╣реИред рдХрд┐рд╕реА рднреА рд╕реАрдПрдордУрдПрд╕ рдЪрд┐рдк рдХреА рддрд░рд╣, рдПрд╕рдЯреАрдПрдо 32 рдореЗрдВ рдмрд┐рдЬрд▓реА рдХреА рдЦрдкрдд рдХреЗ рджреЛ рдШрдЯрдХ рд╣реИрдВ - рд╕реНрдерд┐рд░ рдФрд░ рдЧрддрд┐рд╢реАрд▓; рджреВрд╕рд░рд╛ рдЖрд╡реГрддреНрддрд┐ рдкрд░ рдирд┐рд░реНрднрд░ рдХрд░рддрд╛ рд╣реИ, рдкрд╣рд▓рд╛ рд╕реНрдерд┐рд░ рд╣реИред рдирддреАрдЬрддрди, рдКрд░реНрдЬрд╛ рдХреА рдЦрдкрдд рдСрдкрд░реЗрдЯрд┐рдВрдЧ рдЖрд╡реГрддреНрддрд┐ рдФрд░ рдЙрддреНрдкрд╛рджрдХрддрд╛ рдХреЗ рд░реВрдк рдореЗрдВ рддреЗрдЬреА рд╕реЗ рдХрдо рдирд╣реАрдВ рд╣реЛрдЧреА, рдФрд░ рдХрд╛рд░реНрдп рдХреЗ рдЖрдзрд╛рд░ рдкрд░, рдКрд░реНрдЬрд╛ рджрдХреНрд╖рддрд╛ рдХреЗ рджреГрд╖реНрдЯрд┐рдХреЛрдг рд╕реЗ рдЗрд╖реНрдЯрддрдо рдЖрд╡реГрддреНрддрд┐ рднрд┐рдиреНрди рд╣реЛ рд╕рдХрддреА рд╣реИ - рдЬрд╣рд╛рдВ рдЖрдкрдХреЛ рдХрд┐рд╕реА рдШрдЯрдирд╛ рдХреА рдкреНрд░рддреАрдХреНрд╖рд╛ рдХрд░рдиреЗ рдХреА рдЖрд╡рд╢реНрдпрдХрддрд╛ рд╣реИ, рд▓реЗрдХрд┐рди рдХрд┐рд╕реА рдХрд╛рд░рдг рд╕реЗ рдЖрдк рд╕реЛрдиреЗ рдЬрд╛ рд╕рдХрддреЗ рд╣реИрдВ, рд╡рд╣рд╛рдБ рд╣реЛрдЧрд╛ рдХрдо рдЖрд╡реГрддреНрддрд┐рдпреЛрдВ рдкреНрд░рднрд╛рд╡реА рд╣реЛрддреА рд╣реИрдВ, рдЬрд╣рд╛рдВ рдЖрдкрдХреЛ рдмрд╕ рд╕рдВрдЦреНрдпрд╛рдУрдВ рдХреЛ рдереНрд░реЗрд╢ рдХрд░рдирд╛ рд╣реЛрдЧрд╛ - рдЙрдЪреНрдЪред рд╡рд┐рд╢рд┐рд╖реНрдЯ "рдЕрд╕реНрдкрддрд╛рд▓-рдФрд╕рдд" рдХрд╛рд░реНрдпреЛрдВ рдореЗрдВ, рдЖрдорддреМрд░ рдкрд░ 2-4 рдореЗрдЧрд╛рд╣рд░реНрдЯреНрдЬ рд╕реЗ рдиреАрдЪреЗ рдЬрд╛рдиреЗ рдХрд╛ рдХреЛрдИ рдорддрд▓рдм рдирд╣реАрдВ рд╣реЛрддрд╛ рд╣реИред

рджреВрд╕рд░реЗ, рдФрд░ рдпрд╣ рдПрдХ рдХрдо рддреБрдЪреНрдЫ рдХреНрд╖рдг рд╣реИ, рдиреАрдВрдж рд╕реЗ рдмрд╛рд╣рд░ рдирд┐рдХрд▓рдиреЗ рдХреА рджрд░ рдХрд╛рдо рдХрд░рдиреЗ рдХреА рдЖрд╡реГрддреНрддрд┐ рдФрд░ рдЗрд╕реЗ рдкреНрд░рд╛рдкреНрдд рдХрд░рдиреЗ рдХреЗ рддрд░реАрдХреЗ рдкрд░ рдирд┐рд░реНрднрд░ рдХрд░рддреА рд╣реИред

рд╕рдмрд╕реЗ рдЦрд░рд╛рдм рд╕реНрдерд┐рддрд┐ рдПрдХ рдмрд╛рд╣рд░реА рдХреНрд╡рд╛рд░реНрдЯреНрдЬ рд╕реЗ 32 рдореЗрдЧрд╛рд╣рд░реНрдЯреНрдЬ рдХреА рдЖрд╡реГрддреНрддрд┐ рдкрд░ рдиреАрдВрдж рд╕реЗ рдмрд╛рд╣рд░ рд╣реЛ рд░рд╣реА рд╣реИ (рдореБрдЭреЗ рдпрд╛рдж рджрд┐рд▓рд╛рдПрдВ рдХрд┐ STM32L1 рдЖрдВрддрд░рд┐рдХ 4 рдореЗрдЧрд╛рд╣рд░реНрдЯреНрдЬ рдерд░рдерд░рд╛рдирд╡рд╛рд▓рд╛ рдкрд░ рдЙрдарддрд╛ рд╣реИ), рдХреНрдпреЛрдВрдХрд┐ рдЗрд╕рдореЗрдВ рддреАрди рдЪрд░рдг рд╣реЛрддреЗ рд╣реИрдВ:

  • рд╡рд╛рд╕реНрддрд╡ рдореЗрдВ рдкреНрд░реЛрд╕реЗрд╕рд░ рдиреАрдВрдж рд╕реЗ рдЬрд╛рдЧрддрд╛ рд╣реИ
  • рдХреНрд╡рд╛рд░реНрдЯреНрдЬ рдкреАрдврд╝реА рд╕реНрдерд┐рд░реАрдХрд░рдг (1-24 рдореЗрдЧрд╛рд╣рд░реНрдЯреНрдЬ)
  • рдкреАрдПрд▓рдПрд▓ рдкреАрдврд╝реА рд╕реНрдерд┐рд░реАрдХрд░рдг (32 рдореЗрдЧрд╛рд╣рд░реНрдЯреНрдЬ)

рджрд░рдЕрд╕рд▓, рдпрд╣рд╛рдВ рдкреНрд░реЛрд╕реЗрд╕рд░ рдХреЛ рдиреАрдВрдж рд╕реЗ рдмрд╛рд╣рд░ рдирд┐рдХрд╛рд▓рдирд╛ рд╕рдмрд╕реЗ рдЫреЛрдЯреА рд╕рдорд╕реНрдпрд╛ рд╣реИ, 4.2 рдореЗрдЧрд╛рд╣рд░реНрдЯреНрдЬ рдХреА рдЖрд╡реГрддреНрддрд┐ рдкрд░ рдЗрд╕реЗ рд▓рдЧрднрдЧ 10 ╬╝s рд▓рдЧрддреЗ рд╣реИрдВред рд▓реЗрдХрд┐рди рдХреНрд╡рд╛рд░реНрдЯреНрдЬ рдХрд╛ рд╕реНрдерд┐рд░реАрдХрд░рдг 1 рдПрдордПрд╕ рддрдХ рд▓реЗ рд╕рдХрддрд╛ рд╣реИ (рд╣рд╛рд▓рд╛рдВрдХрд┐ рдЖрдорддреМрд░ рдкрд░ рдЙрдЪреНрдЪ рдЧрддрд┐ рд╡рд╛рд▓реЗ рдЧреБрдВрдЬрдпрдорд╛рди рдпрдВрддреНрд░ рдХреЗ рд▓рд┐рдП рдпрд╣ рдЕрднреА рднреА рддреЗрдЬ рд╣реИ, рдХрдИ рд╕реМ рдорд╛рдЗрдХреНрд░реЛрд╕реЗрдХрдВрдб рдХреЗ рдЖрджреЗрд╢ рдкрд░), рдкреАрдПрд▓рдПрд▓ рдореЛрдб рддрдХ рдкрд╣реБрдВрдЪ рдПрдХ рдФрд░ 160 ╬╝s рд╣реИред

рдпреЗ рджреЗрд░реА рдПрдХ рд╕рд┐рд╕реНрдЯрдо рдХреЗ рд▓рд┐рдП рдКрд░реНрдЬрд╛ рдХреА рдЦрдкрдд рдХреЗ рджреГрд╖реНрдЯрд┐рдХреЛрдг рд╕реЗ рдорд╣рддреНрд╡рдкреВрд░реНрдг рдирд╣реАрдВ рд╣реЛ рд╕рдХрддреА рд╣реИ рдЬреЛ рд╢рд╛рдпрдж рд╣реА рдХрднреА рдЙрдарддреА рд╣реИ (рдкреНрд░рддрд┐ рд╕реЗрдХрдВрдб рдПрдХ рдмрд╛рд░ рд╕реЗ рдЕрдзрд┐рдХ рдирд╣реАрдВ), рд▓реЗрдХрд┐рди рдЬрд╣рд╛рдВ рд╡реЗрдХрдЕрдк рдХреЗ рдмреАрдЪ рдХреА рдЕрд╡рдзрд┐ рджрд╕рд┐рдпреЛрдВ рдорд┐рд▓реАрд╕реЗрдХрдВрдб рдФрд░ рдЙрд╕рд╕реЗ рдХрдо рд╣реИ, рдФрд░ рд╡реЗрдХрдЕрдк рдЦреБрдж рдХрдо рд╣реИрдВ, рдУрд╡рд░рд╣реЗрдб рдкрд╣рд▓реЗ рд╕реЗ рд╣реА рдПрдХ рдФрд╕рдд рджрд░реНрдЬреЗ рдХрд╛ рдЬреЛрдбрд╝ рдмрдирд╛рдиреЗ рдХреЗ рд▓рд┐рдП рд╢реБрд░реВ рд╣реЛрддрд╛ рд╣реИ рдЗрд╕ рдмрд╛рдд рдХреЛ рдзреНрдпрд╛рди рдореЗрдВ рд░рдЦрддреЗ рд╣реБрдП рдХрд┐ рдкреНрд░реЛрд╕реЗрд╕рд░ рдХреЗ рдЬрд╛рдЧрдиреЗ рдХреЗ рджреМрд░рд╛рди рдЕрдкреЗрдХреНрд╖рд╛рдХреГрдд рдХрдо рд╡рд┐рджреНрдпреБрдд рдкреНрд░рд╡рд╛рд╣ рд╣реЛрддрд╛ рд╣реИред

рдЗрд╕рд╕реЗ рдХреНрдпрд╛ рд╣реЛ рд╕рдХрддрд╛ рд╣реИ? рд╕рд╛рдорд╛рдиреНрдп рддреМрд░ рдкрд░, рдЙрддреНрддрд░ рд╕реНрдкрд╖реНрдЯ рд╣реИ: рдмрд╛рд╣рд░реА рдХреНрд╡рд╛рд░реНрдЯреНрдЬ рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░рдиреЗ рд╕реЗ рдмрдЪрдиреЗ рдХреА рдХреЛрд╢рд┐рд╢ рдХрд░реЗрдВред рдЙрджрд╛рд╣рд░рдг рдХреЗ рд▓рд┐рдП, рдПрдХ рдкреНрд░реЛрдЧреНрд░рд╛рдо рдЬрд┐рд╕рдореЗрдВ рджреБрд░реНрд▓рдн рднрд╛рд░реА рдЙрдкрдХреЗрдВрджреНрд░ рд╣реЛрддреЗ рд╣реИрдВ, рдЬрд┐рдиреНрд╣реЗрдВ рд╕рдЯреАрдХ рдХреНрд▓реЙрдХрд┐рдВрдЧ рдХреА рдЖрд╡рд╢реНрдпрдХрддрд╛ рд╣реЛрддреА рд╣реИ (рдЬреИрд╕реЗ, рддреБрдЪреНрдЫ рд▓реЛрдЧреЛрдВ рдХрд╛ - UART рдбреЗрдЯрд╛ рдПрдХреНрд╕рдЪреЗрдВрдЬ), рдФрд░ рд▓рдЧрд╛рддрд╛рд░ рд╕рд░рд▓ рдЙрдкрдХреЗрдВрджреНрд░, рдкреНрд░рддреНрдпреЗрдХ рдЬрд╛рдЧреНрд░рдд рд╣реЛрдиреЗ рдкрд░ рдЦреБрдж рддрдп рдХрд░ рд╕рдХрддреЗ рд╣реИрдВ рдХрд┐ рдХреНрдпрд╛ рдпрд╣ рдЕрдм рддрдХ рдЫреЛрдбрд╝рдирд╛ рдЖрд╡рд╢реНрдпрдХ рд╣реИред рдПрдордПрд╕рдЖрдИ рдЬрдирд░реЗрдЯрд░ рдкрд░ рд╡рд░реНрддрдорд╛рди рдХрд╛рд░реНрдп рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рдмрд╛рд╣рд░реА рдХреНрд╡рд╛рд░реНрдЯреНрдЬ, рдпрд╛ рдпрд╣ рдЖрд╕рд╛рди (рдФрд░ рддреЗрдЬ) рд╣реЛрдЧрд╛, рдЬрд┐рд╕ рдкрд░ рдкреНрд░реЛрд╕реЗрд╕рд░ рдкрд╣рд▓реЗ рд╕реЗ рд╣реА рдЖрд╡реГрддреНрддрд┐рдпреЛрдВ рдХреЛ рд╢реБрд░реВ рдХрд░рдиреЗ рдкрд░ рдмрд╣реБрдд рд╕рдордп рдЦрд░реНрдЪ рдХрд┐рдП рдмрд┐рдирд╛ рдЬрд╛рдЧ рдЧрдпрд╛ рд╣реИред

рдЗрд╕ рдорд╛рдорд▓реЗ рдореЗрдВ, рд╣рд╛рд▓рд╛рдВрдХрд┐, рдкрд░рд┐рдзрд┐ рдХреА рдШрдбрд╝реА рдХреА рдЖрд╡реГрддреНрддрд┐рдпреЛрдВ рдХреЛ рд╕рдорд╛рдпреЛрдЬрд┐рдд рдХрд░рдирд╛ рдЖрд╡рд╢реНрдпрдХ рд╣реЛ рд╕рдХрддрд╛ рд╣реИ, рд╕рд╛рде рд╣реА рдлреНрд▓реИрд╢ рдореЗрдореЛрд░реА (рджреЗрд░реА рдЪрдХреНрд░реЛрдВ рдХреА рд╕рдВрдЦреНрдпрд╛) рддрдХ рдкрд╣реБрдВрдЪ рдореЛрдб рдХреЛ рд╕рдорд╛рдпреЛрдЬрд┐рдд рдХрд░рдирд╛, рдкреНрд░реЛрд╕реЗрд╕рд░ рдХреЛрд░ рдХрд╛ рд╡реЛрд▓реНрдЯреЗрдЬ (рдПрд╕рдЯреАрдПрдо 32 рдПрд▓ 1 рдореЗрдВ рдЗрд╕реЗ рддреАрди рд╕рдВрднрд╛рд╡рд┐рдд рдореВрд▓реНрдпреЛрдВ рд╕реЗ рдЪреБрдирд╛ рдЧрдпрд╛ рд╣реИ), рдЖрджрд┐ред рд╣рд╛рд▓рд╛рдВрдХрд┐, рдХрд░реНрдиреЗрд▓ рдФрд░ рдореЗрдореЛрд░реА рдСрдкрд░реЗрдЯрд┐рдВрдЧ рдореЛрдб рдХреЗ рд╕рдВрдмрдВрдз рдореЗрдВ, рдЕрдХреНрд╕рд░ рдЙрдкрдпреЛрдЧ рдХреА рдЬрд╛рдиреЗ рд╡рд╛рд▓реА рдЕрдзрд┐рдХрддрдо рдЖрд╡реГрддреНрддрд┐ рдХреЗ рд▓рд┐рдП рдЙрдиреНрд╣реЗрдВ рдЪреБрдирдХрд░ рдЙрдиреНрд╣реЗрдВ рдареАрдХ рдХрд░рдирд╛ рд╕рдВрднрд╡ рд╣реИ, рдХреНрдпреЛрдВрдХрд┐ рдХрдо рдЖрд╡реГрддреНрддрд┐рдпреЛрдВ рдкрд░ рдХреЛрд░ рдХрд╛ рдЧреИрд░-рдЗрд╖реНрдЯрддрдо рд╕рдВрдЪрд╛рд▓рди рдЗрди рдЖрд╡реГрддреНрддрд┐рдпреЛрдВ рдкрд░ рдХрд╛рд░реНрдпреЛрдВ рдХреА рдЫреЛрдЯреА рдорд╛рддреНрд░рд╛ рдХреЗ рдХрд╛рд░рдг рд╡реНрдпрд╛рд╡рд╣рд╛рд░рд┐рдХ рдкреНрд░рджрд░реНрд╢рди рдФрд░ рд╢рдХреНрддрд┐ рдореЗрдВ рдорд╣рддреНрд╡рдкреВрд░реНрдг рдмрджрд▓рд╛рд╡ рдирд╣реАрдВ рджреЗрдЧрд╛ред рдкреНрд░рджрд░реНрд╢рди рдХрд┐рдпрд╛ред

рдпрджреНрдпрдкрд┐ рдЗрд╕ рддрд░рд╣ рдХреЗ рд╕рднреА рдЙрдкрд╛рдп рдкрд╣рд▓реЗ рд╕реЗ рд╣реА рдореЛрдб рдХреЗ рдареАрдХ рдЯреНрдпреВрдирд┐рдВрдЧ рдкрд░ рд▓рд╛рдЧреВ рд╣реЛрддреЗ рд╣реИрдВ (рдФрд░, рдЙрджрд╛рд╣рд░рдг рдХреЗ рд▓рд┐рдП, рдЕрдзрд┐рдХрд╛рдВрд╢ рдУрдПрд╕ рдФрд░ рд▓рд╛рдЗрдмреНрд░реЗрд░реА рднреА рдмреЙрдХреНрд╕ рдХреЗ рдХрд░реАрдм рдХреБрдЫ рднреА рдирд╣реАрдВ рдЬрд╛рдирддреЗ рд╣реИрдВ), рдХреБрдЫ рдорд╛рдорд▓реЛрдВ рдореЗрдВ рд╡реЗ рдкреНрд░рддрд┐рд╢рдд рдХреА рдЗрдХрд╛рдЗрдпреЛрдВ рдХреЗ рдкреИрдорд╛рдиреЗ рдкрд░ рдФрд╕рдд рдЦрдкрдд рдореЗрдВ рдХрдореА рд▓рд╛ рд╕рдХрддреЗ рд╣реИрдВ, рдФрд░ рдХрднреА-рдХрднреА рдФрд░ рднреА рдЕрдзрд┐рдХред рдЙрджрд╛рд╣рд░рдг рдХреЗ рд▓рд┐рдП, рдПрдХ рдкрд╛рдиреА рдХрд╛ рдореАрдЯрд░, рдЬреЛ рдкреНрд░рддреНрдпреЗрдХ 50 рдПрдордПрд╕ рдореЗрдВ рд░реАрдб рд╕реНрд╡рд┐рдЪ рдХреЗ рд╕рдВрдкрд░реНрдХреЛрдВ рдХреЛ рдкреНрд░рджреВрд╖рд┐рдд рдХрд░рддрд╛ рд╣реИ, рдЬрдмрдХрд┐ рд╡рд╛рд╕реНрддрд╡рд┐рдХ рд╕рд░реНрд╡реЗрдХреНрд╖рдг рдореЗрдВ рдХрдИ рджрд╕ рдорд╛рдЗрдХреНрд░реЛрд╕реЗрдХрдВрдб рд▓рдЧрддреЗ рд╣реИрдВ - рдХреНрдпрд╛ рдЖрдк рдирд┐рдпрдВрддреНрд░рдХ рдХреЛ рдЬрдЧрд╛рдиреЗ рдХреЗ рд▓рд┐рдП рдЗрд╕ рд╕рдордп рддрдХ ~ 500 ╬╝s рдЬреЛрдбрд╝рдирд╛ рдЪрд╛рд╣рддреЗ рд╣реИрдВ? ..

рдЕрд╕рд╣рдиреАрдп рд░реВрдк рд╕реЗ рд▓рдВрдмрд╛ рджреВрд╕рд░рд╛


рдПрдХ рдЕрдиреНрдп рд╕рдорд╕реНрдпрд╛ рдЬреЛ рд╕реАрдзреЗ рдКрд░реНрдЬрд╛ рд╕рдВрд░рдХреНрд╖рдг рд╕реЗ рд╕рдВрдмрдВрдзрд┐рдд рдирд╣реАрдВ рд╣реИ, рд▓реЗрдХрд┐рди рдЕрдирд┐рд╡рд╛рд░реНрдп рд░реВрдк рд╕реЗ рдЗрд╕рдХреЗ рд╕рдВрдмрдВрдз рдореЗрдВ рдЙрддреНрдкрдиреНрди рд╣реЛрддреА рд╣реИ - 1 рд╕реЗрдХрдВрдб рд╕реЗ рдХрдо рд╕рдордп рдХреЗ рдЕрдВрддрд░рд╛рд▓ рдХреА рдЧрдгрдирд╛ рдХреИрд╕реЗ рдХрд░реЗрдВ?

рддрдереНрдп рдпрд╣ рд╣реИ рдХрд┐ рдПрд╕рдЯреАрдПрдо 32 рдПрд▓ 1 рдкрд░ рдХреЗрд╡рд▓ рдПрдХ рдЯрд╛рдЗрдорд░ рд╣реИ рдЬреЛ рд╕реНрдЯреЙрдк рдореЛрдб рдореЗрдВ рдХрд╛рдо рдХрд░рддрд╛ рд╣реИ - рдпрд╣ рдЖрд░рдЯреАрд╕реА рд╣реИ, рд╕рдордп рдХреА рдорд╛рдирдХ рдЗрдХрд╛рдИ рдЬрд┐рд╕рдХреЗ рд▓рд┐рдП 1 рд╕реЗрдХрдВрдб рд╣реИред рдЗрд╕реА рд╕рдордп, рдХрд╛рд░реНрдпрдХреНрд░рдореЛрдВ рдореЗрдВ рд▓рдЧрд╛рддрд╛рд░ рдХрдо рд╕реЗ рдХрдо рдПрдХ рд╣реА рдкрд╛рдиреА рдХреЗ рдореАрдЯрд░ рд▓реЗрдиреЗ рдХреЗ рд▓рд┐рдП рдЗрдХрд╛рдЗрдпреЛрдВ, рджрд╕рд┐рдпреЛрдВ рдФрд░ рд╕реИрдХрдбрд╝реЛрдВ рдорд┐рд▓реАрд╕реЗрдХрдВрдб рдХреЗ рд╕рдордп рдЕрдВрддрд░рд╛рд▓ рд╣реЛрддреЗ рд╣реИрдВред

рдХреИрд╕реЗ рд╣реЛ? 32768 рд╣рд░реНрдЯреНрдЬ рдкрд░ LPTIM рдЯрд╛рдЗрдорд░ рдХреЗ рд╕рд╛рде рдкреНрд░реЛрд╕реЗрд╕рд░ рдкрд░ рдЪрд▓рд╛рдПрдВ? рдПрдХ рдЕрдЪреНрдЫрд╛ рд╡рд┐рдХрд▓реНрдк, рд╡рд╛рд╕реНрддрд╡ рдореЗрдВ, рд▓реЗрдХрд┐рди рд╣рдореЗрд╢рд╛ рдЖрд╡рд╢реНрдпрдХ рдирд╣реАрдВред рдЗрд╕рдХреЗ рдмрд┐рдирд╛ рдпрд╣ рд╕рдВрднрд╡ рд╣реИред

рд╕рднреА STM32L1 рдкрд░ рдирд╣реАрдВ, рдмрд▓реНрдХрд┐ рдХреИрдЯ рд╕реЗ рд╢реБрд░реВ рд╣реЛрддрд╛ рд╣реИред 2 (рдпреЗ рдкреНрд░реЛрд╕реЗрд╕рд░ STM32L151CB-A, STM32L151CC рдФрд░ рдирдП рд╣реИрдВ), RTC рдмреНрд▓реЙрдХ рдХреЛ рдПрдХ рдирдП рд░рдЬрд┐рд╕реНрдЯрд░ - SSR, SubSeconds Register рдХреЗ рд╕рд╛рде рдкреВрд░рдХ рдмрдирд╛рдпрд╛ рдЧрдпрд╛ рдерд╛ред рдЕрдзрд┐рдХ рд╕рдЯреАрдХ рд░реВрдк рд╕реЗ, рдпрд╣ рдЗрддрдирд╛ рдЕрдзрд┐рдХ рдкреВрд░рдХ рдирд╣реАрдВ рдерд╛ рдЬрд┐рддрдирд╛ рдЗрд╕реЗ рдЙрдкрдпреЛрдЧрдХрд░реНрддрд╛ рдХреЛ рджрд┐рдЦрд╛рдИ рджреЗ рд░рд╣рд╛ рдерд╛, рд╕рд╛рде рд╣реА рдЙрдк-рдХреНрд╖реЗрддреНрд░ рдЕрд▓рд╛рд░реНрдо ALRMASSR рдФрд░ ALRMBSSR рдХреЛ рдЬреЛрдбрд╝рд╛ рдЧрдпрд╛ рдерд╛ред

рдЗрд╕ рд░рдЬрд┐рд╕реНрдЯрд░ рдореЗрдВ рд╕рдордп рдХреА рдХреЛрдИ рд╕рдордЭрдиреЗ рдпреЛрдЧреНрдп рдЗрдХрд╛рдЗрдпрд╛рдБ рдирд╣реАрдВ рд╣реИрдВ, рдЗрд╕реЗ рдПрдХ рддрдХрдиреАрдХреА рдЖрдВрддрд░рд┐рдХ рдХрд╛рдЙрдВрдЯрд░ рд╕реЗ рдирд┐рдХрд╛рд▓ рджрд┐рдпрд╛ рдЧрдпрд╛ рдерд╛ред STM32L1 рдореЗрдВ, 32768 рд╣рд░реНрдЯреНрдЬ рдкрд░ рдЯрд┐рдХ рдХрд░рдиреЗ рд╡рд╛рд▓реА рдШрдбрд╝реА рджреЛ рд╡рд┐рднрдХреНрдд рдХрд╛рдЙрдВрдЯрд░реЛрдВ, рдЕрддреБрд▓реНрдпрдХрд╛рд▓рд┐рдХ рдФрд░ рд╕рд┐рдВрдХреНрд░реЛрдирд╕ рд╕реЗ рдЧреБрдЬрд░рддреА рд╣реИ, рдЬреЛ рдХреБрд▓ рдорд┐рд▓рд╛рдХрд░ рдЗрд╕реЗ 32768 рд╕реЗ рд╡рд┐рднрд╛рдЬрд┐рдд рдХрд░рддреА рд╣реИ рддрд╛рдХрд┐ рдШрдбрд╝реА рдХреЗ рд▓рд┐рдП 1 рд╕реЗрдХрдВрдб рдХрд╛ рдЯрд┐рдХ рд╣реЛ рд╕рдХреЗред рддреЛ, SSR рджреВрд╕рд░реЗ рдХрд╛рдЙрдВрдЯрд░ рдХрд╛ рд╡рд░реНрддрдорд╛рди рдореВрд▓реНрдп рд╣реИред

рдпрджреНрдпрдкрд┐ SSR рдорд┐рд▓реАрд╕реЗрдХрдВрдб рдореЗрдВ рдирд╣реАрдВ рдЧрд┐рдирд╛ рдЬрд╛рддрд╛ рд╣реИ, рд▓реЗрдХрд┐рди рдЗрд╕рдХреА рдЗрдХрд╛рдЗрдпреЛрдВ рдореЗрдВ, рдЗрди рдЗрдХрд╛рдЗрдпреЛрдВ рдХреЗ рдЖрдпрд╛рдо рдХреЛ рд╕рд┐рдВрдХреНрд░реЛрдирд╕ рдФрд░ рдПрд╕рд┐рдВрдХреНрд░реЛрдирд╕ рдХрд╛рдЙрдВрдЯрд░ рдХреЗ рдбрд┐рд╡рд╛рдЗрдбрд░ рдХреЗ рдЕрдиреБрдкрд╛рдд рдореЗрдВ рдмрджрд▓рдХрд░ рдмрджрд▓рд╛ рдЬрд╛ рд╕рдХрддрд╛ рд╣реИ, рдЬрдмрдХрд┐ RTC рдЗрдирдкреБрдЯ рдореЗрдВ рдорд╛рдирдХ 1 рд╕реЗрдХрдВрдб рдкреНрд░рд╛рдкреНрдд рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП 32768 рдХреЗ рдмрд░рд╛рдмрд░ рдЕрдкрдиреЗ рдХреБрд▓ рдЧреБрдгрд╛рдВрдХ рдХреЛ рдмрдирд╛рдП рд░рдЦрддреЗ рд╣реИрдВред рдЗрди рдЧреБрдгрд╛рдВрдХ рдХреЛ рдЬрд╛рдирдиреЗ рдХреЗ рдмрд╛рдж, рд╣рдо рдорд┐рд▓реАрд╕реЗрдХрдВрдб рдореЗрдВ SSR рдХреЗ рдПрдХ рдбрд┐рд╡реАрдЬрди рдХреА рдХреАрдордд рдХреА рдЧрдгрдирд╛ рдХрд░ рд╕рдХрддреЗ рд╣реИрдВ, рдФрд░ рдпрд╣рд╛рдВ рд╕реЗ рд╣рдо рдкреНрд░реЛрдЧреНрд░рд╛рдорд┐рдВрдЧ рд╕рдмрд╕реЗрдХрдВрдб рдЕрд▓рд╛рд░реНрдо рдХреЗ рд▓рд┐рдП рдЖрдЧреЗ рдмрдврд╝ рд╕рдХрддреЗ рд╣реИрдВред

рдпрд╣ рдзреНрдпрд╛рди рджрд┐рдпрд╛ рдЬрд╛рдирд╛ рдЪрд╛рд╣рд┐рдП рдХрд┐ рдПрдХ рдЕрддреБрд▓реНрдпрдХрд╛рд▓рд┐рдХ рдкреНрд░реА-рдХрд╛рдЙрдВрдЯрд░ рдПрдХ рддреБрд▓реНрдпрдХрд╛рд▓рд┐рдХ рдПрд╕рдПрд╕рдЖрд░ рдХреА рддреБрд▓рдирд╛ рдореЗрдВ рдЕрдзрд┐рдХ рдХрд┐рдлрд╛рдпрддреА рд╣реИ, рдФрд░ рдЗрд╕рд▓рд┐рдП рдЗрд╕реЗ 1 рдкрд░ рд╕реЗрдЯ рдХрд░рдирд╛ рдФрд░ рдкрд╣рд▓реЗ рд╕реЗ рд╣реА рдПрд╕рдПрд╕рдЖрд░ рдореЗрдВ рдЗрдирдкреБрдЯ рдЖрд╡реГрддреНрддрд┐ рдХреЛ 32768 рд╕реЗ рд╡рд┐рднрд╛рдЬрд┐рдд рдХрд░рдирд╛, рдХреЗрд╡рд▓ 30 ╬╝s рдХреА рдЧрд┐рдирддреА рдкреНрд░рд╛рдкреНрдд рдХрд░рдирд╛, рдКрд░реНрдЬрд╛рд╡рд╛рди рд░реВрдк рд╕реЗ рдиреБрдХрд╕рд╛рдирджреЗрд╣ рд╣реИред рдЦреБрдж рдХреЗ рд▓рд┐рдП, рд╣рдордиреЗ рдкреНрд░рд╛рд░рдВрднрд┐рдХ рд╡рд┐рднрдХреНрдд 7 рдХреЗ рд▓рд┐рдП рдЗрд╖реНрдЯрддрдо рдореВрд▓реНрдп рдирд┐рд░реНрдзрд╛рд░рд┐рдд рдХрд┐рдпрд╛, рддреБрд▓реНрдпрдХрд╛рд▓рд┐рдХ рдХреЗ рд▓рд┐рдП - 4095 ((7 + 1) * (4095 + 1) = 32768)ред рдкреНрд░рд╛рд░рдВрднрд┐рдХ рд╡рд┐рднрдХреНрдд рдореЗрдВ рдФрд░ рдХрдореА рдХреЗ рд╕рд╛рде, рдЖрд░рдЯреАрд╕реА рдХреА рдКрд░реНрдЬрд╛ рдХреА рдЦрдкрдд рд╕реВрдХреНрд╖реНрдо рд░реВрдк рд╕реЗ рдмрдврд╝рдиреЗ рд▓рдЧрддреА рд╣реИ - рдПрдХ рдорд╛рдЗрдХреНрд░реЛрдПрдореНрдкрд┐рдпрд░ рдХреЗ рдПрдХ рдЕрдВрд╢ рд╕реЗ, рд▓реЗрдХрд┐рди рдЬрдм рд╕реЗ рд╣рдо рдЗрд╕реЗ рд╕реНрдЯреЙрдк рдореЛрдб рдореЗрдВ "рд╕рдВрджрд░реНрдн" 1.4 ╬╝A рдХреЗ рд╕рд╛рде рддреБрд▓рдирд╛ рдХрд░рддреЗ рд╣реИрдВ, рдпрд╣рд╛рдВ рддрдХ тАЛтАЛрдХрд┐ рдЕрдВрд╢ рднреАред рдбрд┐рдлрд╝реЙрд▓реНрдЯ рд░реВрдк рд╕реЗ, STM32L1 рдХреЗ рд▓рд┐рдП рдпреЗ рдореВрд▓реНрдп 127 рдФрд░ 255 рд╣реИрдВ, рдЕрд░реНрдерд╛рддреНред рд╕рдВрджрд░реНрдн рдореВрд▓реНрдп рд▓рдЧрднрдЧ 4 рдПрдордПрд╕ рд╣реИ, рдЬреЛ рдереЛрдбрд╝рд╛ рдореЛрдЯрд╛ рд╣реИред

рдпрджрд┐ рдЖрдк рдХреЛрдб рдореЗрдВ рдЧрд╣рд░реА рдЦреБрджрд╛рдИ рдХрд░рдирд╛ рдЪрд╛рд╣рддреЗ рд╣реИрдВ, рддреЛ рддрдп рд╕рдордп рдореЗрдВ рд╣рдордиреЗ RTC_SSR рдФрд░ рдорд┐рд▓реАрд╕реЗрдХрдВрдб рдЕрдВрддрд░рд╛рд▓ рдХрд╛ рд╕рдорд░реНрдерди рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП RIOT OS рд╕реЗ рдорд╛рдирдХ RTC рдбреНрд░рд╛рдЗрд╡рд░ рдХреЛ рдЕрдВрддрд┐рдо рд░реВрдк рджрд┐рдпрд╛ ред рддрдм рд╕реЗ, рд╣рдо рдЗрд╕рдХрд╛ рдЙрдкрдпреЛрдЧ рд╡рд╕реНрддреБрддрдГ рд╣рд░ рдЪрд░рдг рдореЗрдВ рдХрд░ рд░рд╣реЗ рд╣реИрдВ (рдФрд░ рдЬрдм рд╕реЗ рд╣рдо OS рдореЗрдВ рдХрд╛рдо рдХрд░рддреЗ рд╣реИрдВ, рдПрдХ рд╕реЗрд╡рд╛ рднреА рдЗрд╕рдХреЗ рдКрдкрд░ рд▓рдЯрдХреА рд░рд╣рддреА рд╣реИ, рдЬрд┐рд╕рд╕реЗ рдЖрдк рдХрд▓рд╛рдИ рдХреЗ рдПрдХ рдЭрдЯрдХрд╛ рдХреЗ рд╕рд╛рде рдПрдХ рд╣рд╛рд░реНрдбрд╡реЗрдпрд░ рдЯрд╛рдЗрдорд░ рдкрд░ рдордирдорд╛рдиреЗ рд╕рдордп рдХреЗ рд╕рд╛рде рд▓рдЧрднрдЧ рдХрд┐рд╕реА рднреА рдХрд╛рд░реНрдп рдХреЛ рд▓рдЯрдХрд╛ рд╕рдХрддреЗ рд╣реИрдВ)ред

рдЙрд╕реА рджреГрд╖реНрдЯрд┐рдХреЛрдг рдХреЛ STM32L0 рдФрд░ STM32L4 рдирд┐рдпрдВрддреНрд░рдХреЛрдВ рдореЗрдВ рд╕реНрдерд╛рдирд╛рдВрддрд░рд┐рдд рдХрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИ, рдЬрд┐рд╕рдХреЗ рд╕рднреА рдореЙрдбрд▓ рдореЗрдВ RTC_SSL рд░рдЬрд┐рд╕реНрдЯрд░ рд╣реИ; рдпрд╣ LPTIM рдЯрд╛рдЗрдорд░ рдХреА рдЖрд╡рд╢реНрдпрдХрддрд╛ рдХреЛ рд╕рдорд╛рдкреНрдд рдХрд░рддрд╛ рд╣реИ рдФрд░ рд╡рд┐рднрд┐рдиреНрди рдкреНрд▓реЗрдЯрдлрд╛рд░реНрдореЛрдВ рдХреЗ рд▓рд┐рдП рдХреЛрдб рдХреЛ рдПрдХрдЬреБрдЯ рдХрд░рддрд╛ рд╣реИред

рдХреИрд╕реЗ рд╕рдордЭреЗрдВ рдХрд┐ рдПрдХ рдорд▓реНрдЯреАрдореАрдЯрд░ рдЭреВрда рдмреЛрд▓ рд░рд╣рд╛ рд╣реИ


рдмреЗрд╢рдХ, рд╕рднреА рдЕрдиреБрдХреВрд▓рди рдХреЗ рдмрд╛рдж, рд╡реИрдз рд╕рд╡рд╛рд▓ рдЙрдарддрд╛ рд╣реИ: рдХреНрдпрд╛, рд╡рд╛рд╕реНрддрд╡ рдореЗрдВ, рд╣рдордиреЗ рд╣рд╛рд╕рд┐рд▓ рдХрд┐рдпрд╛ рд╣реИ?рдЗрд╕рдХрд╛ рдЙрддреНрддрд░ рдЬрд╛рдиреЗ рдмрд┐рдирд╛, рдХреЛрдИ рднреА рдкреВрд░реА рддрд░рд╣ рд╕реЗ рдХреЙрдиреНрдлрд╝рд┐рдЧрд░ рдХрд┐рдП рдЧрдП рдЭрдВрдбреЗ рдХреЗ рд╕рд╛рде рдЦреБрдж рдХреЛ рдПрдХ WFE рддрдХ рд╕реАрдорд┐рдд рдХрд░ рд╕рдХрддрд╛ рд╣реИ, рд╕реЛ рдЬрд╛рдУ рдФрд░ рдЕрдкрдирд╛ 200-500 ╬╝A рдкреНрд░рд╛рдкреНрдд рдХрд░ рд╕рдХрддрд╛ рд╣реИред

рд╡рд░реНрддрдорд╛рди рдХреЛ рдорд╛рдкрдиреЗ рдХрд╛ рд╕рдмрд╕реЗ рдкрд╛рд░рдВрдкрд░рд┐рдХ рддрд░реАрдХрд╛, рдЬрд╝рд╛рд╣рд┐рд░ рд╣реИ, рдПрдХ рдорд▓реНрдЯреАрдореАрдЯрд░ рд╣реИред рдпрд╣ рд╕рдордЭрдиреЗ рдХреЗ рд▓рд┐рдП рдХрд┐ рдпрд╣ рдПрдХ рдорд╛рдЗрдХреНрд░реЛрдХрдВрдЯреНрд░реЛрд▓рд░ рдХреА рддрд░рд╣ рд▓реЛрдб рдкрд░ рдкрдбрд╝рд╛ рд╣реИ, рдЗрд╕рдХреА рдЧрддрд┐рд╢реАрд▓ рдЦрдкрдд рдмрд╣реБрдд рд╕рд░рд▓ рд╣реИ - рдЕрдЧрд░ рдЗрд╕реЗ рдЪрд╛рд▓реВ рдХрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИ, рддреЛ рдпрд╣ рдЭреВрда рд╣реИред

рд╣рд╛рд▓рд╛рдВрдХрд┐, рдЗрд╕рдХрд╛ рдорддрд▓рдм рдпрд╣ рдирд╣реАрдВ рд╣реИ рдХрд┐ рдорд▓реНрдЯреАрдореАрдЯрд░ рдЗрд╕ рдорд╛рдорд▓реЗ рдореЗрдВ рдмреЗрдХрд╛рд░ рд╣реИред рдмрд╕ рдЖрдкрдХреЛ рдЗрд╕реЗ рд▓рд╛рдЧреВ рдХрд░рдиреЗ рдореЗрдВ рд╕рдХреНрд╖рдо рд╣реЛрдирд╛ рдЪрд╛рд╣рд┐рдПред

рд╕рдмрд╕реЗ рдкрд╣рд▓реЗ, рдПрдХ рдорд▓реНрдЯреАрдореАрдЯрд░ рдПрдХ рдмрд╣реБрдд рдзреАрдореА рдЪреАрдЬ рд╣реИ, рдЗрд╕рдореЗрдВ рдПрдХ рдЧрд┐рдирддреА рдХреЗ рд▓рд┐рдП рдПрдХ рд╡рд┐рд╢рд┐рд╖реНрдЯ рд╕рдордп рдПрдХ рджреВрд╕рд░рд╛ рдкреИрдорд╛рдирд╛ рд╣реИ, рдПрдХ рдорд╛рдЗрдХреНрд░реЛрдХрдВрдЯреНрд░реЛрд▓рд░ рдХреЗ рд▓рд┐рдП рд░рд╛рдЬреНрдп рдмрджрд▓рдиреЗ рдХрд╛ рдПрдХ рд╡рд┐рд╢рд┐рд╖реНрдЯ рд╕рдордп рдПрдХ рдорд╛рдЗрдХреНрд░реЛрд╕реЗрдХрдВрдб рд╕реНрдХреЗрд▓ рд╣реИред рдЙрд╕ рдЧрддрд┐ рд╕реЗ рдЗрд╕рдХреА рдЦрдкрдд рдХреЛ рдмрджрд▓рдиреЗ рд╡рд╛рд▓реА рдкреНрд░рдгрд╛рд▓реА рдореЗрдВ, рдорд▓реНрдЯреАрдореАрдЯрд░ рдмрд╕ рдпрд╛рджреГрдЪреНрдЫрд┐рдХ рдорд╛рди рджрд┐рдЦрд╛рдПрдЧрд╛ред

рд╣рд╛рд▓рд╛рдВрдХрд┐, рд╣рдорд╛рд░реЗ рд▓рд┐рдП рдмреНрдпрд╛рдЬ рдХреА рдЧреИрд░-рдЖрдпрд╛рдореА рдЪрд░ рдиреАрдВрдж рдореЛрдб рдореЗрдВ рдорд╛рдЗрдХреНрд░реЛрдХрдВрдЯреНрд░реЛрд▓рд░ рдХреА рдЦрдкрдд рд╣реИ; рдпрджрд┐ рдпрд╣ рдорд╣рддреНрд╡рдкреВрд░реНрдг рд░реВрдк рд╕реЗ рдЙрд╕ рдорд╛рди рд╕реЗ рдЕрдзрд┐рдХ рд╣реИ рдЬрд┐рд╕реЗ рд╣рдордиреЗ рдбреЗрдЯрд╛рд╢реАрдЯ рдкрд░ рдЕрдиреБрдорд╛рдирд┐рдд рдХрд┐рдпрд╛ рд╣реИ, рддреЛ рдХреБрдЫ рд╕реНрдкрд╖реНрдЯ рд░реВрдк рд╕реЗ рдЧрд▓рдд рд╣реИред рдпрд╣ рдПрдХ рд╕реНрдерд┐рд░ рдкреНрд░рдгрд╛рд▓реА рдХреА рдЦрдкрдд рд╣реИ , рдЕрд░реНрдерд╛рдд, рдЗрд╕реЗ рдПрдХ рдорд▓реНрдЯреАрдореАрдЯрд░ рдХреЗ рд╕рд╛рде рдорд╛рдкрд╛ рдЬрд╛ рд╕рдХрддрд╛ рд╣реИред

рд╢реАрд░реНрд╖рдХ рддрд╕реНрд╡реАрд░ рдореЗрдВ рджрд┐рдЦрд╛рдпрд╛ рдЧрдпрд╛ рд╕рдмрд╕реЗ рддреБрдЪреНрдЫ рддрд░реАрдХрд╛ рдорд╛рдЗрдХреНрд░реЛрдЯреЗрдореЗрдЯрд░ рдореЛрдб рдореЗрдВ рдПрдХ рдорд▓реНрдЯреАрдореАрдЯрд░ рд╣реИ, рдЬреЛ рдЕрдм рдЕрдзрд┐рдХрд╛рдВрд╢ рдордзреНрдп-рд╢реНрд░реЗрдгреА рдХреЗ рдореЙрдбрд▓ рдореЗрдВ рд╣реИ, рдФрд░ рдЗрд╕рдореЗрдВ рдЕрдЪреНрдЫреА рд╕рдЯреАрдХрддрд╛ рдФрд░ рдЙрддреНрдХреГрд╖реНрдЯ рд░рд┐рдЬрд╝реЙрд▓реНрдпреВрд╢рди рд╣реИред UT120C рдореЗрдВ A 1% har 3 рдбрд┐рд╕реНрдЪрд╛рд░реНрдЬ рдХреА рдкреНрд░рдорд╛рдгрд┐рдд рд╕рдЯреАрдХрддрд╛ рдХреЗ рд╕рд╛рде 0.1 ╬╝A рдХрд╛ рдПрдХ рд╕рдВрдХрд▓реНрдк рд╣реИ, рдЬреЛ рд╣рдорд╛рд░реЗ рд▓рд┐рдП рдкрд░реНрдпрд╛рдкреНрдд рд╣реИред

рдЗрд╕ рдореЛрдб рдХреЗ рд╕рд╛рде рдХреЗрд╡рд▓ рдПрдХ рд╕рдорд╕реНрдпрд╛ рд╣реИ - рдЗрд╕рдореЗрдВ рдорд▓реНрдЯреАрдореАрдЯрд░ рдХреА рдПрдХ рдмрдбрд╝реА рд╢реНрд░реГрдВрдЦрд▓рд╛ рдкреНрд░рддрд┐рд░реЛрдз, рд╕реИрдХрдбрд╝реЛрдВ рдУрдо рдХрд╛ рдПрдХ рд╕реНрдХреЗрд▓ рд╣реИ, рдЗрд╕рд▓рд┐рдП рд╕рд╛рдорд╛рдиреНрдп рдореЛрдб рдореЗрдВ, рдкрд╛рд╡рд░ рд╕рд░реНрдХрд┐рдЯ рдореЗрдВ рдЗрд╕ рддрд░рд╣ рдХреА рдорд▓реНрдЯреАрдореАрдЯрд░ рдХреЗ рд╕рд╛рде рдПрдХ рдорд╛рдЗрдХреНрд░реЛрдХрдВрдЯреНрд░реЛрд▓рд░ рдмрд╕ рд╢реБрд░реВ рдирд╣реАрдВ рд╣реЛрдЧрд╛ред рд╕реМрднрд╛рдЧреНрдп рд╕реЗ, рдкреИрдорд╛рдиреЗ рдкрд░ рд▓рдЧрднрдЧ рд╕рднреА рдЙрдкрдХрд░рдгреЛрдВ рдореЗрдВ "mA" рдФрд░ "uA" рдХреА рд╕реНрдерд┐рддрд┐рдпрд╛рдВ рдХрд░реАрдм рд╣реИрдВ, рджреЛрдиреЛрдВ рд╕реАрдорд╛рдУрдВ рдХреЛ рдорд╛рдкрдиреЗ рдХреЗ рд▓рд┐рдП рдХреБрд░реНрд╕рд┐рдпрд╛рдВ тАЛтАЛрд╕рдорд╛рди рд╣реИрдВ, рдЗрд╕рд▓рд┐рдП рдЖрдк "mA" рдХреА рд╕реАрдорд╛ рдкрд░ рдирд┐рдпрдВрддреНрд░рдХ рдХреЛ рд╕реБрд░рдХреНрд╖рд┐рдд рд░реВрдк рд╕реЗ рд╢реБрд░реВ рдХрд░ рд╕рдХрддреЗ рд╣реИрдВ, рдФрд░ рдЬрдм рдЖрдк рд╕реЛ рдЬрд╛рддреЗ рд╣реИрдВ, рддреЛ "uA" рдкрд░ рдХреНрд▓рд┐рдХ рдХрд░реЗрдВред "- рдпрд╣ рдХрд╛рдлреА рддреЗрдЬреА рд╕реЗ рд╣реЛрддрд╛ рд╣реИ рддрд╛рдХрд┐ рдирд┐рдпрдВрддреНрд░рдХ рдХреЗ рдкрд╛рд╕ рд╢рдХреНрддрд┐ рдЦреЛрдиреЗ рдФрд░ рд░рд┐рдмреВрдЯ рдХрд░рдиреЗ рдХрд╛ рд╕рдордп рди рд╣реЛред

рдХреГрдкрдпрд╛ рдзреНрдпрд╛рди рджреЗрдВ рдХрд┐ рдпрджрд┐ рдирд┐рдпрдВрддреНрд░рдХ рдЧрддрд┐рд╡рд┐рдзрд┐ рдореЗрдВ рд╕реНрдкрд╛рдЗрдХреНрд╕ рдХрд╛ рдЕрдиреБрднрд╡ рдХрд░рддрд╛ рд╣реИ, рддреЛ рдпрд╣ рд╡рд┐рдзрд┐ рд▓рд╛рдЧреВ рдирд╣реАрдВ рд╣реИред рдЙрджрд╛рд╣рд░рдг рдХреЗ рд▓рд┐рдП, рд╡реЙрдЪрдбреЙрдЧ рдЯрд╛рдЗрдорд░ рдбрд┐рд╡рд╛рдЗрд╕ рдХреЗ рдлрд░реНрдорд╡реЗрдпрд░ рдореЗрдВ рд╣рд░ 15 рд╕реЗрдХрдВрдб рдореЗрдВ рд░реАрд╕реЗрдЯ рд╣реЛ рдЬрд╛рддрд╛ рд╣реИ - рдЗрди рдХреНрд╖рдгреЛрдВ рдореЗрдВ, рдорд▓реНрдЯреАрдореАрдЯрд░ 27 ╬╝A рдХреЗ рдХреНрд╖реЗрддреНрд░ рдореЗрдВ рдХреБрдЫ рджрд┐рдЦрд╛рдиреЗ рдХрд╛ рдкреНрд░рдмрдВрдзрди рдХрд░рддрд╛ рд╣реИ, рдЬрд┐рд╕рдХрд╛ рдирд┐рд╢реНрдЪрд┐рдд рд░реВрдк рд╕реЗ рдордВрдЧрд▓ рдкрд░ рдореМрд╕рдо рд╕реЗ рдХреЛрдИ рд▓реЗрдирд╛-рджреЗрдирд╛ рдирд╣реАрдВ рд╣реИред рдпрджрд┐ рдЖрдкрдХреЗ рд╕рд┐рд╕реНрдЯрдо рдкрд░ рд╣рд░ 5-10 рд╕реЗрдХрдВрдб рдореЗрдВ рдПрдХ рд╕реЗ рдЕрдзрд┐рдХ рдмрд╛рд░ рдордирдорд╛рдиреЗ рдврдВрдЧ рд╕реЗ рд╢реЙрд░реНрдЯ рд╣реЛрддрд╛ рд╣реИ, рддреЛ рдорд▓реНрдЯреАрдореАрдЯрд░ рдмрд╕ рдЭреВрда рд╣реЛрдЧрд╛ред рд╕реНрдереИрддрд┐рдХ

рдорд╛рдкрдиреЗ рдХрд╛ рдПрдХ рдФрд░ рддрд░реАрдХрд╛(рдореИрдВ рдЗрд╕ рд╢рдмреНрдж рдХреЛ рд╕реАрдзреЗ рд╣рд╛рдЗрд▓рд╛рдЗрдЯ рдХрд░ рд░рд╣рд╛ рд╣реВрдВ) рдорд▓реНрдЯреАрдореАрдЯрд░ рджреНрд╡рд╛рд░рд╛ рдЦрдкрдд рдмрд╛рд╣рд░реА рд╢рдВрдЯ рдкрд░ рдЧрд┐рд░рд╛рд╡рдЯ рдХрд╛ рдорд╛рдк рд╣реИред рдпрджрд┐ рдЖрдк рдХреБрдЫ рджрд╕рд┐рдпреЛрдВ рд╕реВрдХреНрд╖реНрдорджрд░реНрд╢реА рдХреЗ рдкреИрдорд╛рдиреЗ рдкрд░ рдЕрд▓реНрдЯреНрд░рд╛-рдЫреЛрдЯреЗ рдзрд╛рд░рд╛рдУрдВ рдХреЛ рдорд╛рдкрдирд╛ рдЪрд╛рд╣рддреЗ рд╣реИрдВ, рддреЛ рдЖрдкрдХреЛ рдПрдХ рдмрдбрд╝рд╛ (рдЙрджрд╛рд╣рд░рдг рдХреЗ рд▓рд┐рдП, 1 kOhm) рд╢рдВрдЯ рд▓рдЧрд╛рдиреЗ рдХреА рдЬрд░реВрд░рдд рд╣реИ, рдФрд░ рдЗрд╕рдХреЗ рд╕рдорд╛рдирд╛рдВрддрд░ - рд╕реАрдзреЗ рд╕рдВрдмрдВрдз рдореЗрдВ рдПрдХ рд╢реЛрдЯреНрд╕реНрдХреА рдбрд╛рдпреЛрдбред рдпрджрд┐ рд╢рдВрдЯ 0.3 рд╡реА рд╕реЗ рдЕрдзрд┐рдХ рдЧрд┐рд░рддрд╛ рд╣реИ, рддреЛ рдбрд╛рдпреЛрдб рд╡реЛрд▓реНрдЯреЗрдЬ рдбреНрд░реЙрдк рдХреЛ рдЦреЛрд▓реЗрдЧрд╛ рдФрд░ рд╕реАрдорд┐рдд рдХрд░реЗрдЧрд╛, рдФрд░ 0.3 рд╡реА рддрдХ рдЖрдк рдорд┐рд▓рд┐рд╡реЙрд▓реНрдЯ рд░реЗрдВрдЬ, 1 рдПрдорд╡реА = 1 ╬╝A рдореЗрдВ рдорд▓реНрдЯреАрдореАрдЯрд░ рдХреЗ рд╕рд╛рде рдбреНрд░реЙрдк рдХреЛ рд╕реБрд░рдХреНрд╖рд┐рдд рд░реВрдк рд╕реЗ рдорд╛рдк рд╕рдХрддреЗ рд╣реИрдВред

рдХрд╛рд╢, рдпрд╣ рдПрдХ рд╡рд┐рд╢рд┐рд╖реНрдЯ рдорд▓реНрдЯреАрдореАрдЯрд░ - рдордзреНрдпрдо-рд╡рд░реНрдЧ рдХреЗ рдЙрдкрдХрд░рдгреЛрдВ рдХреЗ рд╕рд╛рде рдХрдо-рдкреНрд░рддрд┐рдмрд╛рдзрд╛ рд╢рдВрдЯ рдкрд░ рдПрдХ рдмреВрдВрдж рдХреЛ рдорд╛рдкрдиреЗ рдХреЗ рд▓рд┐рдП рдХрд╛рдо рдирд╣реАрдВ рдХрд░реЗрдЧрд╛, рднрд▓реЗ рд╣реА рд╡реЗ 100 ╬╝V рд╕реЗ рдиреАрдЪреЗ рдХреБрдЫ рджрд┐рдЦрд╛рддреЗ рд╣реЛрдВ, рдЗрд╕ рд░реЗрдВрдЬ рдореЗрдВ рдПрдХ рджреБрд░реНрднрд╛рдЧреНрдпрдкреВрд░реНрдг рд╕рдЯреАрдХрддрд╛ рд╣реИред рдпрджрд┐ рдЖрдкрдХреЗ рдкрд╛рд╕ рдПрдХ рдЕрдЪреНрдЫрд╛ рдбреЗрд╕реНрдХрдЯреЙрдк рдбрд┐рд╡рд╛рдЗрд╕ рд╣реИ рдЬреЛ 1 uV рджрд┐рдЦрд╛ рд╕рдХрддрд╛ рд╣реИ, рддреЛ рдЖрдкрдХреЛ рдЕрдм рдореЗрд░реА рд╕рд▓рд╛рд╣ рдХреА рдЖрд╡рд╢реНрдпрдХрддрд╛ рдирд╣реАрдВ рд╣реИред

рд╣рд╛рд▓рд╛рдБрдХрд┐, рд╕реНрдЯреЗрдЯрд┐рдХреНрд╕ рдЕрдЪреНрдЫреЗ рд╣реИрдВ, рд▓реЗрдХрд┐рди рдбрд╛рдпрдиреЗрдорд┐рдХреНрд╕ рдХреЗ рдмрд╛рд░реЗ рдореЗрдВ рдХреНрдпрд╛? рдФрд╕рдд рдмрд┐рдЬрд▓реА рдХреА рдЦрдкрдд рдкрд░ рд╡рд┐рднрд┐рдиреНрди рдЖрд╡реГрддреНрддрд┐рдпреЛрдВ рдХреЗ рд╕рдорд╛рди рдкреНрд░рднрд╛рд╡ рдХрд╛ рдореВрд▓реНрдпрд╛рдВрдХрди рдХреИрд╕реЗ рдХрд░реЗрдВ?

рдпрд╣рд╛рдВ рд╕рдм рдХреБрдЫ рдЬрдЯрд┐рд▓ рд╣реИред

рдмреБрдирд┐рдпрд╛рджреА рдЖрд╡рд╢реНрдпрдХрддрд╛рдУрдВ рдХреЛ рд▓рд┐рдЦреЗрдВ:

  • рдХрдо рд╕реЗ рдХрдо 1 ╬╝A рдХреА рд╡рд░реНрддрдорд╛рди рд╕реАрдорд╛ - 100 mA (10 ^ 5)
  • рдорд╛рдк рдЕрд╡рдзрд┐ 10 ╬╝s рд╕реЗ рдЕрдзрд┐рдХ рдирд╣реАрдВ
  • рд╡реЛрд▓реНрдЯреЗрдЬ рдбреНрд░реЙрдк 100 mV рд╕реЗ рдЕрдзрд┐рдХ рдирд╣реАрдВ рд╣реИ
  • рдорд╛рдк рдХреА рдЕрд╡рдзрд┐ - рдЕрд╕реАрдорд┐рдд

рдпрджрд┐ рд╣рдо рдЗрд╕реЗ рд╕реАрдзреЗ рд╕рдВрдЦреНрдпрд╛рдУрдВ рдореЗрдВ рд╕реАрдзреЗ рдЕрдиреБрд╡рд╛рдж рдХрд░рддреЗ рд╣реИрдВ, рддреЛ рд╣рдореЗрдВ рдЕрдкреЗрдХреНрд╖рд╛рдХреГрдд рддреЗрдЬрд╝ рдФрд░ 30 ╬╝V рд╕реЗ рдХрдо рдХреЗ рдЗрдирдкреБрдЯ рдкреВрд░реНрд╡рд╛рдЧреНрд░рд╣ рдХреЗ рд╕рд╛рде 18-рдмрд┐рдЯ рдПрдбреАрд╕реА рд╕реЗ рдХрдо рдирд╣реАрдВ рдорд┐рд▓рддрд╛ рд╣реИ, 1 ╬╝V рд╕реЗ рд╡реЛрд▓реНрдЯреЗрдЬ рдХреЛ рдорд╛рдкрдиреЗ рдореЗрдВ рд╕рдХреНрд╖рдо рдПрдирд╛рд▓реЙрдЧ рдлреНрд░рдВрдЯ рдПрдВрдб, рдФрд░ рдХрдВрдкреНрдпреВрдЯрд░ рдкрд░ рдПрдХ рддреНрд╡рд░рд┐рдд рдЗрдВрдЯрд░рдлрд╝реЗрд╕ рдЬреЛ рд╣рдореЗрдВ рдпрд╣ рд╕рдм рд╕реНрдерд╛рдирд╛рдВрддрд░рд┐рдд рдХрд░рдиреЗ рдХреА рдЕрдиреБрдорддрд┐ рджреЗрдЧрд╛ред рдФрд░ рдмрдЪрд╛рдУред

рдФрд░ рдПрдХ рдПрдХрд▓ рдЙрдкрдпреЛрдЧ рдХреЗ рд▓рд┐рдП рдпрд╣ рд╕рдмред

рдЖрдк рджреЗрдЦрд┐рдП, рд╣рд╛рдВ, рджрд╕ рд░реБрдкрдпреЗ рдХреЗ рд╣рд░ рдХреЛрдиреЗ рдореЗрдВ рдРрд╕реА рдЪреАрдЬреЗрдВ рдХреНрдпреЛрдВ рдирд╣реАрдВ рд╣реИрдВ? рдкрд╣рд▓реЗ рд╕рдиреНрдирд┐рдХрдЯрди рдореЗрдВ рдХреАрд╕рд╛рдЗрдЯ N6705C рд╣рдорд╛рд░реА рдЖрд╡рд╢реНрдпрдХрддрд╛рдУрдВ рдХреЛ рдкреВрд░рд╛ рдХрд░рддрд╛ рд╣реИ, рдХреЗрд╡рд▓ рдЗрд╕рдХреА рд▓рд╛рдЧрдд $ 7960 рд╣реИред

рдЙрджрд╛рд╣рд░рдг рдХреЗ рд▓рд┐рдП, рдмрдЬрдЯ рд╕рдорд╛рдзрд╛рдиреЛрдВ рдореЗрдВ, SiLabs рдЕрдкрдиреЗ рдбрд┐рдмрдЧ рдореЗрдВ рд╡рд░реНрддрдорд╛рди рдорд╛рдк рдХреЛ рдПрдХреАрдХреГрдд рдХрд░рддрд╛ рд╣реИ - рдЙрдирдХреЗ рдЙрдиреНрдирдд рдКрд░реНрдЬрд╛ рдирд┐рдЧрд░рд╛рдиреА (рдПрдИрдПрдо) рд╕рд┐рд╕реНрдЯрдо рдХреА рд╡рд┐рд╢реЗрд╖рддрд╛рдПрдВ рд╡рд┐рд╢рд┐рд╖реНрдЯ рдбреАрдмрдЧрд┐рдВрдЧ рдореЙрдбрд▓ рдкрд░ рдирд┐рд░реНрднрд░ рдХрд░рддреА рд╣реИрдВ, рдФрд░ рдЙрдиреНрд╣реЗрдВ рдорд╛рдк рдХреА рдЧрддрд┐ рдХреЗ рд╕рд╛рде рд╕рдмрд╕реЗ рдмрдбрд╝реА рд╕рдорд╕реНрдпрд╛ рд╣реИред рдкреБрд░рд╛рдиреЗ "рд╕реНрдЯрд╛рд░реНрдЯрд░ рдХрд┐рдЯ" рдореЗрдВ, STK3300 / 3400 рдХреЗрд╡рд▓ 100 рд╣рд░реНрдЯреНрдЬ рд╣реИ, рдирдП рдбрд┐рдмрдЧ рдкрд░ STK3700 / 3800 (рдЖрд╕рд╛рдиреА рд╕реЗ рдкрд╣рдЪрд╛рдиреЗ рдЬрд╛рдиреЗ рд╡рд╛рд▓реЗ рдмреНрд▓реИрдХ рдЯреЗрдХреНрд╕реНрдЯрд▓рд╛рдЗрдЯ) - 6.25 kHz, рдФрд░ DK рд╢реНрд░реГрдВрдЦрд▓рд╛ рдбрд┐рдмрдЧ рдХреЗ рдкреБрд░рд╛рдиреЗ рдореЙрдбрд▓ рдореЗрдВ рдпрд╣ 10 kHz рддрдХ рдкрд╣реБрдВрдЪ рд╕рдХрддрд╛ рд╣реИ, рд▓реЗрдХрд┐рди рдЗрд╕рдХреА рд▓рд╛рдЧрдд рднреА рд╣реИ рд╡реЗ рдкрд╣рд▓реЗ рд╕реЗ рд╣реА $ 300 + рд╣реИрдВред рдЧрдВрднреАрд░ рдХрд╛рд░реНрдпреЛрдВ рдХреЗ рд▓рд┐рдП, SiLabs рдЖрдзрд┐рдХрд╛рд░рд┐рдХ рддреМрд░ рдкрд░ рдЙрдкрд░реЛрдХреНрдд рдХреБрдВрдЬреА рдХреА рд╕рд┐рдлрд╛рд░рд┐рд╢ рдХрд░рддрд╛ рд╣реИред

рд╕рд┐рджреНрдзрд╛рдВрдд рд░реВрдк рдореЗрдВ, рдЗрд╕ рддрд░рд╣ рдХреЗ рдЙрдкрдХрд░рдг рдХреЛ рд╕реНрд╡рдпрдВ рджреНрд╡рд╛рд░рд╛ рдбрд┐рдЬрд╝рд╛рдЗрди рдХрд┐рдпрд╛ рдЬрд╛ рд╕рдХрддрд╛ рд╣реИ - рд╕рдмрд╕реЗ рдкрд╣рд▓реЗ, рдЖрдкрдХреЛ рдиреНрдпреВрдирддрдо рдЗрдирдкреБрдЯ рдкреВрд░реНрд╡рд╛рдЧреНрд░рд╣ рдХреЗ рд╕рд╛рде рдмрд╣реБрдд рдЕрдЪреНрдЫреЗ рдСрдк-рдПрдореНрдкреНрд╕ рдХреА рдЖрд╡рд╢реНрдпрдХрддрд╛ рд╣реИ, рдЬреИрд╕реЗ рдХрд┐ рдУрдкреАрдП 2335ред рдЗрд╕ рддрд░рд╣ рдХреЗ рдСрдк рдПрдореНрдкреНрд╕ рдХреЛ рдЕрд▓рдЧ-рдЕрд▓рдЧ рдкреНрд░рд╡рд░реНрдзрди рдХрд╛рд░рдХреЛрдВ рдХреЗ рд╕рд╛рде 2-3 рдЯреБрдХрдбрд╝реЛрдВ рдХреЗ рдПрдХ рд╣реА рд╢рдВрдЯ рдкрд░ рд░рдЦрд╛ рдЬрд╛рддрд╛ рд╣реИ, рдпреЗ рд╕рднреА рдЕрд▓рдЧ-рдЕрд▓рдЧ рдПрдбреАрд╕реА рдЗрдирдкреБрдЯреНрд╕ рдХреЗ рд▓рд┐рдП рдШрд╛рд╡ рд╣реЛрддреЗ рд╣реИрдВ (рдЗрд╕ рджреГрд╖реНрдЯрд┐рдХреЛрдг рдХреЗ рд╕рд╛рде рдмрд┐рд▓реНрдЯ-рдЗрди рдорд╛рдЗрдХреНрд░реЛрдХрдВрдЯреНрд░реЛрд▓рд░ рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░рдирд╛ рдХрд╛рдлреА рд╕рдВрднрд╡ рд╣реИ), рдлрд┐рд░ рд╣рд░ рдмрд╛рд░ рдбреЗрдЯрд╛ рд▓рд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИ, рдпрд╣ рдкреНрд░реЛрдЧреНрд░рд╛рдореЗрдЯрд┐рдХ рд░реВрдк рд╕реЗ рдирд┐рд░реНрдзрд╛рд░рд┐рдд рд╣реЛрддрд╛ рд╣реИ рдХрд┐ рдЗрд╕рдореЗрдВ рд╕реЗ рдХреМрди рд╕рд╛ рдСрдкреНрд╕ рд╣реИ рдкрд▓ рдЕрддрд┐рднрд╛рд░рд┐рдд рдирд╣реАрдВ рд╣реИ, рдЗрд╕рд╕реЗ рд░реАрдбрд┐рдВрдЧ рдЧрд┐рдиреЗ рдЬрд╛рддреЗ рд╣реИрдВред

рдХрдВрдкреНрдпреВрдЯрд░ рдкрд░ рдбреЗрдЯрд╛ рдЯреНрд░рд╛рдВрд╕рдлрд░ рдХреА рдЧрддрд┐ рдХреА рд╕рдорд╕реНрдпрд╛ рдХреЛ рдХрд╛рдлреА рд╕рд░рд▓рддрд╛ рд╕реЗ рд╣рд▓ рдХрд┐рдпрд╛ рдЧрдпрд╛ рд╣реИ - рдХреНрдпреЛрдВрдХрд┐ рд╡реНрдпрд╛рд╡рд╣рд╛рд░рд┐рдХ рдЙрджреНрджреЗрд╢реНрдпреЛрдВ рдХреЗ рд▓рд┐рдП рд╣рдо рдореБрдЦреНрдп рд░реВрдк рд╕реЗ рд╡рд╛рд╕реНрддрд╡рд┐рдХ рдЬреАрд╡рди рдореЗрдВ рд╕рд┐рд╕реНрдЯрдо рдХреА рдФрд╕рдд рдЦрдкрдд рдореЗрдВ рд░реБрдЪрд┐ рд░рдЦрддреЗ рд╣реИрдВ, рдорд╛рдЗрдХреНрд░реЛрд╕реЗрдХрдВрдб рд░реАрдбрд┐рдВрдЧ рдХреЛ рдореАрдЯрд░ рдХреЗ рдСрди-рдмреЛрд░реНрдб рдорд╛рдЗрдХреНрд░реЛрдХрдВрдЯреНрд░реЛрд▓рд░ рдореЗрдВ рдПрдХрддреНрд░ рдХрд┐рдпрд╛ рдЬрд╛ рд╕рдХрддрд╛ рд╣реИ рдФрд░ рдХреБрдЫ рдЙрдЪрд┐рдд рдорд┐рд▓реАрд╕реЗрдХрдВрдб рдкреИрдорд╛рдиреЗ рдХреЗ рд▓рд┐рдП рдЕрдВрдХрдЧрдгрд┐рддреАрдп рдФрд╕рдд рднреЗрдЬрд╛ рдЬрд╛ рд╕рдХрддрд╛ рд╣реИред

рдЗрд╕рдХреЗ рдЕрд▓рд╛рд╡рд╛, рдЬреИрд╕рд╛ рдХрд┐ рдЕрднреНрдпрд╛рд╕ рд╕реЗ рдкрддрд╛ рдЪрд▓рддрд╛ рд╣реИ, рдореАрдЯрд░-рд▓реЙрдЧрд░ рд╣реЛрдирд╛ рдмрд╣реБрдд рдЙрдкрдпреЛрдЧреА рд╣реИ, рднрд▓реЗ рд╣реА рд╕рд░рд▓ рдФрд░ рдмрд╣реБрдд рд╕рдЯреАрдХ рдирд╣реАрдВ рд╣реИ, рд▓реЗрдХрд┐рди рд╣рдореЗрд╢рд╛ рд╣рд╛рде рдореЗрдВ рд╣реИ - рддрд╛рдХрд┐ рдКрд░реНрдЬрд╛ рдХреА рдмрдЪрдд рд╕реЗ рдЯреВрдЯреЗ рд╣реБрдП рдХреБрдЫ рдкреНрд░рдХрд╛рд░ рдХреЗ рдлрд░реНрдорд╡реЗрдпрд░ рдкрд░рд┐рд╡рд░реНрддрди рдХреЗ рд╕рд╛рде рдЖрд╢реНрдЪрд░реНрдп рди рдХрд░реЗрдВред

рдЙрджрд╛рд╣рд░рдг рдХреЗ рд▓рд┐рдП, рд╣рдордиреЗ рдЕрдкрдиреЗ рдорд╛рдирдХ USB рдЕрдбреИрдкреНрдЯрд░ UMDK-RF рдореЗрдВ рдПрдХ рдХрд╛ рдирд┐рд░реНрдорд╛рдг рдХрд┐рдпрд╛, рдЬрд┐рд╕рдХрд╛ рдЙрдкрдпреЛрдЧ рдлрд░реНрдорд╡реЗрдпрд░ рдХреЛ рдбрд┐рдмрдЧ рдХрд░рддреЗ рд╕рдордп рд▓рдЧрд╛рддрд╛рд░ рдХрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИ - рдЗрд╕рдореЗрдВ рдкрд╣рд▓реЗ рд╕реЗ рд╣реА DAPLink рдкреНрд░реЛрдЯреЛрдХреЙрд▓, USB-UART рдмреНрд░рд┐рдЬ рдФрд░ рдкрд╛рд╡рд░ рдореИрдиреЗрдЬрдореЗрдВрдЯ рд▓реЙрдЬрд┐рдХ рдХреЗ рд╕рдорд░реНрдерди рдХреЗ рд╕рд╛рде рдПрдХ SWD рдкреНрд░реЛрдЧреНрд░рд╛рдорд░ рд╣реИ, рдЗрд╕реЗ рдПрдХ рдЦрдкрдд рдореАрдЯрд░ рдорд┐рд▓рд╛ рд╣реИред рд▓рдЧрднрдЧ рдирд┐: рд╢реБрд▓реНрдХред рдореАрдЯрд░ рд╕реНрд╡рдпрдВ рдПрдХ 1 рдУрдо рд╢рдВрдЯ рдФрд░ рдПрдХ INA213 рдПрдореНрдкрд▓реАрдлрд╛рдпрд░ (50 рдмрд╛рд░ рд▓рд╛рдн, 5 ╬╝V рдХреА рд╡рд┐рд╢рд┐рд╖реНрдЯ рд╢реВрдиреНрдп рдСрдлрд╕реЗрдЯ) рд╣реИ:



рдПрдореНрдкрд▓реАрдлрд╛рдпрд░ рд╕реАрдзреЗ рдорд╛рдЗрдХреНрд░реЛрдХрдВрдЯреНрд░реЛрд▓рд░ рдХреЗ рдПрдбреАрд╕реА (STM32F042806P6) рдХреЗ рдЗрдирдкреБрдЯ рд╕реЗ рдЬреБрдбрд╝рд╛ рд╣реБрдЖ рд╣реИ, рдПрдбреАрд╕реА рдПрдХ рд╣рд╛рд░реНрдбрд╡реЗрдпрд░ рдЯрд╛рдЗрдорд░ рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░рдХреЗ 10 ╬╝s рдХреА рдЕрд╡рдзрд┐ рдХреЗ рд╕рд╛рде рдкреНрд░рдХреНрд░рд┐рдпрд╛ рдХрд░рддрд╛ рд╣реИ, рдФрд░ рдпреВрдПрд╕рдмреА рдХреЗ рдорд╛рдзреНрдпрдо рд╕реЗ рдЕрдк рдХрд░рддрд╛ рд╣реИред рдФрд╕рдд рдбреЗрдЯрд╛ 100-рдПрдордПрд╕ рдЕрдВрддрд░рд╛рд▓ рдХреЗ рд▓рд┐рдП рдЖрдЙрдЯрдкреБрдЯ рд╣реИред рдирддреАрдЬрддрди, рдлрд╝рд░реНрдорд╡реЗрдпрд░ рд▓реЙрдЬрд┐рдХ рдореЗрдВ рдХреБрдЫ рдмрджрд▓рддреЗ рд╣реБрдП, рдЖрдк рдмрд╕ рдзреБрдПрдБ рдХреЗ рд▓рд┐рдП рдЬрд╛ рд╕рдХрддреЗ рд╣реИрдВ рдпрд╛ рдХреЙрдлреА рдкреА рд╕рдХрддреЗ рд╣реИрдВ, рдЙрдкрдХрд░рдг рдХреЛ рдЯреЗрдмрд▓ рдкрд░ рдЫреЛрдбрд╝ рд╕рдХрддреЗ рд╣реИрдВ рдФрд░ рд╡рд╛рдкрд╕ рд▓реМрдЯ рд╕рдХрддреЗ рд╣реИрдВ, рдЗрд╕ рддрд░рд╣ рд╕реЗ рдПрдХ рд╢реЗрдбреНрдпреВрд▓ рджреЗрдЦ рд╕рдХрддреЗ рд╣реИрдВ:



рдРрд╕реЗ "рдореБрдХреНрдд" рдбрд┐рд╡рд╛рдЗрд╕ рдХреА рд╕рдЯреАрдХрддрд╛, рдирд┐рд╢реНрдЪрд┐рдд рд░реВрдк рд╕реЗ рдЙрдЪреНрдЪ рдирд╣реАрдВ рд╣реИ - 12-рдмрд┐рдЯ рдПрдбреАрд╕реА рдФрд░ рдПрдХ рдПрдореНрдкрд▓реАрдлрд╛рдпрд░ рдХреЗ рд╕рд╛рде, рдиреНрдпреВрдирддрдо рдХреНрд╡рд╛рдВрдЯрдо 16 ╬╝A рд╣реИ, рд▓реЗрдХрд┐рди рдпрд╣ рдКрд░реНрдЬрд╛ рдЦрдкрдд рдХреЗ рджреГрд╖реНрдЯрд┐рдХреЛрдг рд╕реЗ рдбреАрдмрдЧ рдХрд┐рдП рдЧрдП рдЙрдкрдХрд░рдгреЛрдВ рдХреЗ рд╡реНрдпрд╡рд╣рд╛рд░ рдХреЗ рддреНрд╡рд░рд┐рдд рдФрд░ рдирд┐рдпрдорд┐рдд рдореВрд▓реНрдпрд╛рдВрдХрди рдХреЗ рд▓рд┐рдП рдмреЗрд╣рдж рдЙрдкрдпреЛрдЧреА рд╣реИред рдЕрдВрдд рдореЗрдВ, рдпрджрд┐ рдЖрдк рдлрд░реНрдорд╡реЗрдпрд░ рдпрд╛ рдбрд┐рд╡рд╛рдЗрд╕ рдореЗрдВ рдХреБрдЫ рдЧрд▓рдд рдХрд░рддреЗ рд╣реИрдВ, рддреЛ рдмрд╣реБрдд рдЕрдзрд┐рдХ рдЧрд╛рд░рдВрдЯреА рдХреЗ рд╕рд╛рде рдЖрдк рдХрдо рд╕реЗ рдХрдо рд╕реИрдХрдбрд╝реЛрдВ рдХреА рд╕рдВрдЦреНрдпрд╛ рдореЗрдВ рдорд╛рдЗрдХреНрд░реЛрдПрдореНрдк рдХреА рдЗрдХрд╛рдЗрдпреЛрдВ рд╕реЗ рдмрд╛рд╣рд░ рдирд┐рдХрд▓ рдкрд╛рдПрдВрдЧреЗ, рдФрд░ рдпрд╣ рд╕реНрдкрд╖реНрдЯ рд░реВрдк рд╕реЗ рджрд┐рдЦрд╛рдИ рджреЗрдЧрд╛ред



рдПрдХ рдФрд░ рдЕрдЪреНрдЫрд╛ рдмреЛрдирд╕ рдпрд╣ рд╣реИ рдХрд┐ рдЪреВрдВрдХрд┐ рдбреЗрдЯрд╛ рдХреЛ рд╡рд░реНрдЪреБрдЕрд▓ COM рдкреЛрд░реНрдЯ рдореЗрдВ рдЯреЗрдХреНрд╕реНрдЯ рдлреЙрд░реНрдо (рдорд╛рдЗрдХреНрд░реЛрдПрдореНрдкрд▓реНрд╕ рдореЗрдВ рдорд╛рди) рдХреЗ рд▓рд┐рдП рднреЗрдЬрд╛ рдЬрд╛рддрд╛ рд╣реИ, рдЖрдк рдбрд┐рд╡рд╛рдЗрд╕ рдХреЗ рдХрдВрд╕реЛрд▓ рдХреЛ рджрд┐рдЦрд╛рдиреЗ рд╡рд╛рд▓реА рд╡рд┐рдВрдбреЛ рдХреЗ рдмрдЧрд▓ рдореЗрдВ рдЯрд░реНрдорд┐рдирд▓ рд╡рд┐рдВрдбреЛ рдХреЛ рдкреЛрдЬрд┐рд╢рди рдХрд░ рд╕рдХрддреЗ рд╣реИрдВ рдФрд░ рдбрд┐рдмрдЧ рд╕рдВрджреЗрд╢реЛрдВ рдХреЗ рд╕рд╛рде рдПрдХ рд╕рд╛рде рдмрд┐рдЬрд▓реА рдХреА рдЦрдкрдд рдХреЛ рджреЗрдЦ рд╕рдХрддреЗ рд╣реИрдВред

рдореИрдВ рдПрдХ рдХрд╛рд░рдг рдХреЗ рд▓рд┐рдП рдЗрд╕рдХреЗ рдмрд╛рд░реЗ рдореЗрдВ рдбреАрдВрдЧ рдорд╛рд░рддрд╛ рд╣реВрдВ, рд▓реЗрдХрд┐рди рдЙрди рд╕рднреА рдХреА рдкреЗрд╢рдХрд╢ рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рдЬреЛ рдЗрд╕ рдиреНрдпреВрдирддрдо (рдФрд░ рдмрд╣реБрдд рд╕рд╕реНрддреЗ!) рдбрд┐рдмрдЧрд░ рдкреНрд░реЛрдЧреНрд░рд╛рдорд░ рдХреЛ рдЕрдкрдиреА рдкрд░рд┐рдпреЛрдЬрдирд╛рдУрдВ рдореЗрдВ рдЙрдкрдпреЛрдЧ рдХрд░рдирд╛ рдЪрд╛рд╣рддреЗ рд╣реИрдВред

рдЖрдк рдЖрд░реЗрдЦ рдХреЛ рдпрд╣рд╛рдВ ( рдбреАрдкрдЯреНрд░реЗрд╕ рдореЗрдВ рд╕реНрд░реЛрдд ) рдЖрдХрд░реНрд╖рд┐рдд рдХрд░ рд╕рдХрддреЗ рд╣реИрдВ , рдпрд╣рд╛рдВ рдлрд░реНрдорд╡реЗрдпрд░ рдХреЛ рдмрд╛рд╣рд░ рдирд┐рдХрд╛рд▓реЗрдВ (umdk-rf рдмреНрд░рдВрдЪ, рдЬрдм рд▓рдХреНрд╖реНрдп рдХрд╛ рдирд┐рд░реНрдорд╛рдг UMDK-RF, dap42 рдкрд░рд┐рдпреЛрдЬрдирд╛ рдкрд░ рдЖрдзрд╛рд░рд┐рдд рд╣реЛ )ред рдЖрд░реЗрдЦ рдЧрдбрд╝рдмрдбрд╝ рд╣реЛ рдЧрдпрд╛ рд╣реИ, рд▓реЗрдХрд┐рди рдореБрдЭреЗ рдЙрдореНрдореАрдж рд╣реИ рдХрд┐ рдореБрдЦреНрдп рдмрд┐рдВрджреБ рд╕реНрдкрд╖реНрдЯ рд╣реИрдВ, рдлрд░реНрдорд╡реЗрдпрд░ libopencm3 рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░рддреЗ рд╣реБрдП рд╕реА рдореЗрдВ рд▓рд┐рдЦрд╛ рдЧрдпрд╛ рд╣реИ рдФрд░ рд╕рд╛рдорд╛рдиреНрдп рдмрд╛рдВрд╣-рдХреЛрдИ-рдПрдЧреНрдмреА-рдЬреАрд╕реАрд╕реА рдХреЗ рд╕рд╛рде рдЗрдХрдЯреНрдард╛ рдХрд┐рдпрд╛ рдЧрдпрд╛ рд╣реИред рдЕрддрд┐рд░рд┐рдХреНрдд рдХрд╛рд░реНрдпреЛрдВ рдХреЗ рд░реВрдк рдореЗрдВ, рдлрд░реНрдорд╡реЗрдпрд░ рдореЗрдВ рдкрд╛рд╡рд░ рдореИрдиреЗрдЬрдореЗрдВрдЯ рд╣реЛрддрд╛ рд╣реИ, рдирд┐рдпрдВрддреНрд░рдг рдХреБрдВрдЬреА рд╕реЗ рдЕрдзрд┐рднрд╛рд░ рд╕рдВрдХреЗрддреЛрдВ рдХреЛ рдкрдХрдбрд╝рдирд╛ рдФрд░ рдПрдХ рдмрдЯрди рдХреЗ рд▓рдВрдмреЗ рдкреНрд░реЗрд╕ рдХреЗ рд╕рд╛рде рдЕрдкрдиреЗ рдореВрд▓ рдмреВрдЯрд▓реЛрдбрд░ рдореЗрдВ рдЗрд╕рд╕реЗ рдЬреБрдбрд╝реЗ рдирд┐рдпрдВрддреНрд░рдХ рдореЗрдВ рдкреНрд░рд╡реЗрд╢ рдХрд░рдирд╛ред

NB: рдпрджрд┐ рдЖрдк рдмреВрдЯ рдмрдЯрди рдХреЛ рдкреНрд░реЛрдЧреНрд░рд╛рдорд░ рдХреЗ рдХрдВрдЯреНрд░реЛрд▓рд░ рдХреЛ рдЕрдкрдиреЗ рдмреВрдЯрд▓реЛрдбрд░ рдореЗрдВ рдирд┐рдпрдорд┐рдд рд░реВрдк рд╕реЗ рд▓рд╛рдирд╛ рдЪрд╛рд╣рддреЗ рд╣реИрдВ, рддреЛ рдЙрд╕рдХреЗ рдкрд╛рд╕ рдХрдиреЗрдХреНрд╢рди рдХреА рдзреНрд░реБрд╡рддрд╛ рд╣реЛрдиреА рдЪрд╛рд╣рд┐рдП, рдкрд╣рд▓реЗ рдмреВрдЯ рдореЗрдВ рдХрдВрдЯреНрд░реЛрд▓рд░ рдХрд╛ рд╡рд┐рдХрд▓реНрдк рдмрд╛рдЗрдЯреНрд╕ рдФрд░ рд╣рдЯрд╛рдП рдЧрдП рдмреВрдЯ рдХрд░рдиреЗ рд╡рд╛рд▓реЗ рдХреЗ рд▓рд┐рдП рдкреНрд░реЛрдЧреНрд░рд╛рдо рдЗрдирдкреБрдЯ рдФрд░ рд░реЗрдЧреБрд▓рд░ рдХреЗ рд▓рд┐рдП рдЗрдВрдЯрд░рдкреНрдЯ рдкреЛрд▓рд░рд┐рдЯреА рдЗрд╕ рдмрдЯрди рдХреЗ рдХрд╛рд░реНрдпред

рдЖрдк рдпрд╣ рджреЗрдЦ рд╕рдХрддреЗ рд╣реИрдВ рдХрд┐ рд╡рд┐рднрд┐рдиреНрди рдорд╛рдкрдХ рдХрд╛рд░рдХреЛрдВ (рдЙрджрд╛рд╣рд░рдг рдХреЗ рд▓рд┐рдП, рдЕрдкрдиреЗ рдХрд╛рд░реНрдпреЛрдВ рдХреЗ рд▓рд┐рдП рдКрдкрд░ рд╡рд░реНрдгрд┐рдд рдбреАрдмрдЧрд░ рдХреЛ рдмреЗрд╣рддрд░ рдмрдирд╛рдиреЗ рдХреЗ рд▓рд┐рдП) рд╕реЗрд╢рди-рдПрдореНрдк рдХреЗ рдПрдХ рдЬреЛрдбрд╝реЗ рдкрд░ рд╡рд░реНрддрдорд╛рди рдорд╛рдк рдХреИрд╕реЗ рдХрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИ, рдпрд╣рд╛рдВ (рдкреГрд╖реНрда 9), рдПрдХ рдЕрдзрд┐рдХ рдкрд╛рд░рдВрдкрд░рд┐рдХ рд╡рд┐рдХрд▓реНрдк - рдПрдХ рд╕реЗрд╢рди-рдПрдореНрдкреА рдФрд░ рдПрдХ рдорд╣рдВрдЧреА 24-рдмрд┐рдЯ рдПрдбреАрд╕реА рдХреЗ рд╕рд╛рдеред - TI рдореЗрдВ рдпрд╣ рд╣реИ (рдкреЗрдЬ 5 рдкрд░ рдПрдирд░реНрдЬреАрдЯреНрд░реЗрд╕)ред

PS рдХреГрдкрдпрд╛ рдзреНрдпрд╛рди рджреЗрдВ рдХрд┐ рдХрдиреЗрдХреНрдЯреЗрдб UART рдпрд╛ JTAG / SWD рдХреЗ рд╕рд╛рде рдбрд┐рдмрдЧрд┐рдВрдЧ рдХреЗ рджреМрд░рд╛рди, рдПрдХ рдЫреЛрдЯрд╛ рдХрд░рдВрдЯ рдЙрдирдХреЗ рдкреИрд░реЛрдВ рдХреЗ рдорд╛рдзреНрдпрдо рд╕реЗ рд▓реАрдХ рд╣реЛ рд╕рдХрддрд╛ рд╣реИ, рдЬреЛ рдбрд┐рд╡рд╛рдЗрд╕ рдХреЗ рд╡рд╛рд╕реНрддрд╡рд┐рдХ рд╕рдВрдЪрд╛рд▓рди рдХреЗ рджреМрд░рд╛рди рдирд╣реАрдВ рд╣реЛрдЧрд╛ред рдЗрд╕рд▓рд┐рдП, UMDK- рдЖрд░рдПрдл рдкрд░, рд▓рдЧрднрдЧ 15 ╬╝A SWD рдореЗрдВ рд▓реАрдХ рд╣реЛрддрд╛ рд╣реИ (рдФрд░ рдЗрд╕рд▓рд┐рдП, рд╣реЗрдбрд░ рдлреЛрдЯреЛ рдореЗрдВ, рдмреЛрд░реНрдб рдХреЗ рдкреБрд░рд╛рдиреЗ рд╕рдВрд╕реНрдХрд░рдг рдкрд░, SWD рдХреЗ рдмрд┐рдирд╛, рдорд▓реНрдЯреАрдореАрдЯрд░ рдХреЗ рд╕рд╛рде рдорд╛рдк рдХрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИ), рдФрд░ STM32 рдиреНрдпреВрдХреНрд▓рд┐рдпрд░ рдкрд░ рд▓рдЧрднрдЧ 200 ╬╝A рдХреЗ SWD рдХреЗ рдорд╛рдзреНрдпрдо рд╕реЗ рд╕рд╣рдЬ рдкреНрд░рд╡рд╛рд╣ рдХреЗ рд╕рд╛рде рдорд╛рдорд▓реЗ рдереЗ ред рдорд╛рдк рдХреЗ рд▓рд┐рдП рдЙрдкрдпреЛрдЧ рдХрд┐рдП рдЬрд╛рдиреЗ рд╡рд╛рд▓реЗ рдбрд┐рдмрдЧ рдмреЛрд░реНрдбреЛрдВ рдХреЛ рдРрд╕реА рд╡рд┐рд╢реЗрд╖рддрд╛рдУрдВ рдХреЗ рд▓рд┐рдП рдЬрд╛рдВрдЪрдирд╛ рдЖрд╡рд╢реНрдпрдХ рд╣реИ - рдпрд╛ рддреЛ рдЙрдирдХреА рдЗрдВрдЯрд░рдлрд╝реЗрд╕ рд▓рд╛рдЗрдиреЛрдВ рдХреЛ рдбрд┐рд╕реНрдХрдиреЗрдХреНрдЯ рдХрд░рдХреЗ, рдЕрдЧрд░ рдРрд╕реА рдХреЛрдИ рд╕рдВрднрд╛рд╡рдирд╛ рд╣реИ, рдпрд╛ рдкрд░рд┐рдгрд╛рдо рдХреА рддреБрд▓рдирд╛ рдбрд┐рд╡рд╛рдЗрд╕ рдХреА рдЦрдкрдд рдХреЛ рдбрд┐рдмрдЧрд┐рдВрдЧ рдХреЗ рд▓рд┐рдП рд╕реНрдерд╛рдкрд┐рдд рдХрд┐рдП рдмрд┐рдирд╛ рдорд╛рдкрд╛ рдЬрд╛рддрд╛ рд╣реИ, рдЙрджрд╛рд╣рд░рдг рдХреЗ рд▓рд┐рдП, рд╕реНрдЯреИрдЯрд┐рдХ рдореЛрдб рдореЗрдВ рдорд▓реНрдЯреАрдореАрдЯрд░ рдХреЗ рд╕рд╛рдеред

рдПрдХ рдирд┐рд╖реНрдХрд░реНрд╖ рдХреЗ рдмрдЬрд╛рдп


рдореБрдЭреЗ рдЖрд╢рд╛ рд╣реИ рдХрд┐ рдЖрдк рдкрд╣рд▓реЗ рд╕реЗ рд╣реА рд╕рдордЭ рдЪреБрдХреЗ рд╣реИрдВ рдХрд┐ рдЖрдкрдиреЗ рдорд╛рдЗрдХреНрд░реЛрдХрдВрдЯреНрд░реЛрд▓рд░ рдкреНрд░реЛрдЧреНрд░рд╛рдорд┐рдВрдЧ рдХреЛ рдЕрдкрдиреА рдореБрдЦреНрдп рд╡рд┐рд╢реЗрд╖рддрд╛ рдХреЗ рд░реВрдк рдореЗрдВ рдЪреБрдирдХрд░ рдХреНрдпрд╛ рдЧрд▓рддреА рдХреА рд╣реИред

Source: https://habr.com/ru/post/hi430218/


All Articles