XELTEK SuperPro 6100 рдкреНрд░реЛрдЧреНрд░рд╛рдорд░ рдХреЗ рд▓рд┐рдП рдПрдХ рд╕реЙрдлреНрдЯрд╡реЗрдпрд░ рдореЙрдбреНрдпреВрд▓ рдмрдирд╛рдирд╛

рдкреНрд░рд╕реНрддрд╛рд╡рдирд╛


рдкрд┐рдЫрд▓реЗ рд▓реЗрдЦ рдореЗрдВ , рдХреНрд▓реЛрдирд┐рдВрдЧ рдХреЗ рдЦрд┐рд▓рд╛рдл XELTEK рд╕реБрдкрд░рдкреНрд░реЛ 6100 рдкреНрд░реЛрдЧреНрд░рд╛рдорд░ рд╕реБрд░рдХреНрд╖рд╛ рддрдВрддреНрд░ рдкрд░ рд╡рд┐рдЪрд╛рд░ рдХрд┐рдпрд╛ рдЧрдпрд╛ рдерд╛ред

рдпрд╣ рдЖрд▓реЗрдЦ рдЗрд╕ рдкреНрд░реЛрдЧреНрд░рд╛рдорд░ рдХреЗ рд▓рд┐рдП рдЕрдкрдиреЗ рд╕реНрд╡рдпрдВ рдХреЗ рд╕реЙрдлреНрдЯрд╡реЗрдпрд░ рдореЙрдбреНрдпреВрд▓ рдХреЗ рдирд┐рд░реНрдорд╛рдг рдХрд╛ рд╡рд░реНрдгрди рдХрд░реЗрдЧрд╛, рдЬреЛ рдХрд┐ рдХреЛрдб рдХреЗ рдПрдХ рдирд┐рд╢реНрдЪрд┐рдд рд╕рдВрд╢реЛрдзрди рджреНрд╡рд╛рд░рд╛, рдХрд┐рд╕реА рднреА рдЕрдиреНрдп рдкреНрд░рдХрд╛рд░ рдХреЗ рдорд╛рдЗрдХреНрд░реЛрдХрд┐рд░рд┐рдЯреНрд╕ рдХреЗ рд╕рд╛рде рдХрд╛рдо рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рдЕрдиреБрдХреВрд▓рд┐рдд рдХрд┐рдпрд╛ рдЬрд╛ рд╕рдХрддрд╛ рд╣реИ - рд╡рд░реНрддрдорд╛рди рдореЗрдВ рд╕рдорд░реНрдерд┐рдд рдирд╣реАрдВ рд╣реИ рдпрд╛, рдЬреИрд╕рд╛ рдХрд┐ рд╣рдорд╛рд░реЗ рдорд╛рдорд▓реЗ рдореЗрдВ, рдХреЗрд╡рд▓ рдФрдкрдЪрд╛рд░рд┐рдХ рд░реВрдк рд╕реЗ рдХрд╣рд╛ рдЧрдпрд╛ рд╣реИред

рдкреНрд░рд╛рдЧрд┐рддрд┐рд╣рд╛рд╕


рдПрдХ рдмрд╛рд░ рдлрд┐рд░, рд╣рдорд╛рд░рд╛ рдПрдХ рдХрд╛рдо рдерд╛ рдХрд┐ рдкрд╣рд▓реА рдирдЬрд╝рд░ рдореЗрдВ рдЗрд╕реЗ рдмрд╣реБрдд рд╕рд░рд▓рддрд╛ рд╕реЗ рд╣рд▓ рдХрд┐рдпрд╛ рдЧрдпрд╛ рдерд╛ - рдПрдХ рд╡рд┐рд╢реЗрд╖ рдлреНрд▓реИрд╢ рдореЗрдореЛрд░реА рдЪрд┐рдк рдХреА рдкреНрд░рддрд┐рд▓рд┐рдкрд┐ рдмрдирд╛рдирд╛ рдЖрд╡рд╢реНрдпрдХ рдерд╛ - mDOC H3 SDED5-512Mред

рдЗрд╕ рдЪрд┐рдк рдХреЛ рджрд╕ рд╕рд╛рд▓ рд╕реЗ рднреА рдкрд╣рд▓реЗ рд╡рд┐рдХрд╕рд┐рдд рдХрд┐рдпрд╛ рдЧрдпрд╛ рдерд╛ред рдпрд╣рд╛рдБ рдкреАрдбреАрдПрдл (1) рдЗрд╕рдХреЗ рд╡рд┐рд╡рд░рдг рдХреЗ рд╕рд╛рде рд╣реИред рдиреАрдЪреЗ рд░реВрд╕реА рднрд╛рд╖рд╛ рдХреА рдШреЛрд╖рдгрд╛ рд╕реЗ рдПрдХ рдЫреЛрдЯрд╛ рд╕рд╛ рдЕрдВрд╢ рд╣реИ:

... msystems рдареЛрд╕ рд░рд╛рдЬреНрдп рдбреНрд░рд╛рдЗрд╡ рдХреЗ рд░реВрдк рдореЗрдВ рдЙрдкрдпреЛрдЧ рдХреЗ рд▓рд┐рдП mDOC рдкрд░рд┐рд╡рд╛рд░ рддреИрдпрд╛рд░ рдХрд┐рдпрд╛ рд╣реИ ...
рдЕрдВрддрд░реНрдирд┐рд╣рд┐рдд TrueFFS рд╕реЙрдлрд╝реНрдЯрд╡реЗрдпрд░, рдЬрд┐рд╕реЗ mDOC H3 рдлрд╝реНрд▓реИрд╢ рдореЗрдореЛрд░реА рдХреЛ рдкреНрд░рдмрдВрдзрд┐рдд рдХрд░рдиреЗ рдХрд╛ рдХрд╛рдо рд╕реМрдВрдкрд╛ рдЧрдпрд╛ рд╣реИ, рдЕрдкрдиреЗ рд╕реНрд╡рдпрдВ рдХреЗ рдирд┐рдпрдВрддреНрд░рдХ рдореЙрдбреНрдпреВрд▓ рдХреЛ рдЪрд▓рд╛рддрд╛ рд╣реИ, рдЬреЛ рдЗрд╕реЗ рдПрдХ рдкреВрд░реНрдг, рд╕реНрдЯреИрдВрдб-рдЕрд▓реЛрди рдЗрдХрд╛рдИ рдореЗрдВ рдмрджрд▓ рджреЗрддрд╛ рд╣реИ, рдЖрд╕рд╛рдиреА рд╕реЗ рд╡рд┐рднрд┐рдиреНрди рдкреНрд░рдХрд╛рд░ рдХреЗ рд╣рд╛рде рдореЗрдВ рдЙрдкрдХрд░рдгреЛрдВ рдХреЛ рдЬреЛрдбрд╝рд╛ рдЬрд╛рддрд╛ рд╣реИред ...

рдкреНрд░реЛрдЧреНрд░рд╛рдорд░ рджреНрд╡рд╛рд░рд╛ рд╕рдорд░реНрдерд┐рдд рд╕реБрдкрд░рдкреНрд░реЛ 6100 рдХреА рд╕реВрдЪреА рдореЗрдВ, рдЗрд╕ рддрд░рд╣ рдХреА рдЪрд┐рдк рдХреЛ рд╕реВрдЪреАрдмрджреНрдз рдХрд┐рдпрд╛ рдЧрдпрд╛ рдерд╛ рдФрд░ рдЗрд╕рдХреЗ рд▓рд┐рдП рдЗрд╕реЗ рд╕рдВрдмрдВрдзрд┐рдд DX5057 рдПрдбреЙрдкреНрдЯрд░ рднреА рдорд┐рд▓рд╛ рдерд╛ред рд▓реЗрдХрд┐рди рдкреВрд░реЗ рдбрд┐рдЬрд╛рдЗрдирд░ рдХреЛ рдЗрдХрдЯреНрдард╛ рдХрд░рдиреЗ рдФрд░ рдЗрд╕ рдЪрд┐рдк рдХреЛ рдЪреБрдирдиреЗ рдХреЗ рдмрд╛рдж, рдХрд╛рд░реНрдпрдХреНрд░рдо рдиреЗ рд░рд╣рд╕реНрдпрдордп рд╡рд╕реНрддреБ "рдбрд┐рдореЗрдЬрдореИрди" рдХреЗ рд╕рд╛рде рдирд┐рдореНрди рдЪрд┐рддреНрд░ рджрд┐рдЦрд╛рдпрд╛, рдЬрд┐рд╕рдХрд╛ рд╡рд┐рд╡рд░рдг рдпрд╛ рддреЛ рджрд╕реНрддрд╛рд╡реЗрдЬ рдореЗрдВ рдпрд╛ рдбреЗрд╡рд▓рдкрд░ рдХреА рд╡реЗрдмрд╕рд╛рдЗрдЯ рдкрд░ рдирд╣реАрдВ рдорд┐рд▓рд╛ред


рдПрдбреЗрдкреНрдЯрд░ рдореЗрдВ рдЪрд┐рдк рдХреЗ рдмрд┐рдирд╛ "рдбрд┐рдореЗрдЬрдореИрди" рдСрдкрд░реЗрд╢рди рдХрд░рдиреЗ рдХреА рдХреЛрд╢рд┐рд╢ рдХрд░рдиреЗ рдХреЗ рдмрд╛рдж, рдЗрд╕рдХреА рдЕрдиреБрдкрд╕реНрдерд┐рддрд┐ рдХреЗ рдмрд╛рд░реЗ рдореЗрдВ рдПрдХ рдЪреЗрддрд╛рд╡рдиреА рдорд┐рд▓реА рдереА, рдФрд░ рдЗрд╕ рддрдереНрдп рдХреА рдкреБрд╖реНрдЯрд┐ рдХрд░рдиреЗ рдХреЗ рдмрд╛рдж, рдХрд╛рд░реНрдпрдХреНрд░рдо рдиреЗ рдирд┐рдореНрдирд▓рд┐рдЦрд┐рдд рдЬрд╛рдирдХрд╛рд░реА рдкреНрд░рджрд░реНрд╢рд┐рдд рдХреА:


рд╢рд┐рд▓рд╛рд▓реЗрдЦ "mDOC H3 рд▓рд┐рдЦреЗрдВ рдЫрд╡рд┐" рдХреЛ рджреЗрдЦрддреЗ рд╣реБрдП, "рдЫрд╡рд┐" рдПрдХ рдЫрд╡рд┐ рд╣реИ рдЬрд┐рд╕реЗ рдкреНрд░реЛрдЧреНрд░рд╛рдорд░ рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░рдХреЗ рдЪрд┐рдк рдкрд░ рд▓рд┐рдЦрд╛ рдЬрд╛ рд╕рдХрддрд╛ рд╣реИред рд▓реЗрдХрд┐рди рдкрд╣рд▓реЗ рд╕реЗ рд░рд┐рдХреЙрд░реНрдб рдХрд┐рдП рдЧрдП рдорд╛рдЗрдХреНрд░реЛрдХреНрд░рд┐рдЪрдЯ рд╕реЗ рдЗрд╕ рдЫрд╡рд┐ рдХреЛ рдХреИрд╕реЗ рдкрдврд╝реЗрдВ, рдЗрд╕реЗ рдХреИрд╕реЗ рдорд┐рдЯрд╛рдПрдВ, рдЖрджрд┐?

рдЗрдВрдЯрд░рдиреЗрдЯ рдкрд░ рдереЛрдбрд╝реА рджреЗрд░ рдмрд╛рдж рдореБрдЭреЗ рдбреЗрдЯрд╛рдореИрди рдХрдВрдкрдиреА рд╕реЗ рдПрдХ рдлрд╝рд╛рдЗрд▓ (2) рдорд┐рд▓реА, рдЬреЛ рдЖрдВрд╢рд┐рдХ рд░реВрдк рд╕реЗ рдЙрдкрд░реЛрдХреНрдд рдЫрд╡рд┐ рдХреА рд╕рдВрд░рдЪрдирд╛ рдХреЛ рджрд┐рдЦрд╛рддреА рд╣реИ рдФрд░ рдЗрд╕рдХреЗ рдирд┐рд░реНрдорд╛рдг рдХреЗ рд▓рд┐рдП рд╕реЙрдлрд╝реНрдЯрд╡реЗрдпрд░ рдХрд╛ рдЙрд▓реНрд▓реЗрдЦ рдХрд░рддреА рд╣реИред
рдЗрд╕ рдкреНрд░рдХрд╛рд░, TrueFFS 7.1 (3) рдХреЗ рд▓рд┐рдП рджрд╕реНрддрд╛рд╡реЗрдЬрд╝ рд╕реЙрдлрд╝реНрдЯрд╡реЗрдпрд░ рдЙрдкрдпреЛрдЧрд┐рддрд╛рдУрдВ рдореЗрдВ рд╡рд░реНрдгрд┐рдд рдПрдо-рд╕рд┐рд╕реНрдЯрдо рд╕реЗ рдЙрдкрдпреЛрдЧрд┐рддрд╛рдУрдВ рдХреА рдЦреЛрдЬ рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рдЖрдЧреЗ рдХреЗ рдкреНрд░рдпрд╛рд╕реЛрдВ рдХрд╛ рдЙрджреНрджреЗрд╢реНрдп рдерд╛ред

рдкреВрд░реНрд╡ "рдПрдо-рд╕рд┐рд╕реНрдЯрдо", рдЕрдм "рд╕реИрдирдбрд┐рд╕реНрдХ" рдХреЗ рддрдХрдиреАрдХреА рд╕рдорд░реНрдерди рдХреЗ рд▓рд┐рдП рдЕрдиреБрд░реЛрдз, рдПрдХ рдкрд░рд┐рдгрд╛рдо рдирд╣реАрдВ рджрд┐рдпрд╛ - рдмрд╕ рдХреЛрдИ рдЬрд╡рд╛рдм рдирд╣реАрдВ рдерд╛ред

рдЗрдВрдЯрд░рдиреЗрдЯ рдкрд░, рдХреЗрд╡рд▓ рдкреБрд░рд╛рдиреА рдЙрдкрдпреЛрдЧрд┐рддрд╛рдУрдВ рдХреЛ рдвреВрдВрдврдирд╛ рд╕рдВрднрд╡ рдерд╛ рдЬреЛ рдПрдЪ 3 рдЪрд┐рдкреНрд╕ рдХреЗ рд╕рдВрд╕реНрдХрд░рдг рдХрд╛ рд╕рдорд░реНрдерди рдирд╣реАрдВ рдХрд░рддреЗ рд╣реИрдВред рд╕реИрдирдбрд┐рд╕реНрдХ рд╕реЗ рдкреВрд░реНрдг рдПрд╕рдбреАрдХреЗ рднреА рдирд╣реАрдВ рдорд┐рд▓рд╛, рдХреЗрд╡рд▓ рд▓рд┐рдирдХреНрд╕ рдХреЗ рд▓рд┐рдП рдбреНрд░рд╛рдЗрд╡рд░ рдХреЛ рд▓рд╛рдЧреВ рдХрд░рдиреЗ рдХреЗ рд╕рдВрджрд░реНрдн рдореЗрдВ рдЗрд╕рдХреЗ "рдЯреБрдХрдбрд╝реЗ" (5) ред

рдЬреИрд╕рд╛ рдХрд┐ рд╣рдордиреЗ рд╕рдВрдЪрд┐рдд рдЬрд╛рдирдХрд╛рд░реА рдХрд╛ рдЕрдзреНрдпрдпрди рдХрд┐рдпрд╛, рдирд┐рдореНрди рдкрдВрдХреНрддрд┐ рдиреЗ рдбрд╛рдЯрд╛рдорди рдлрд╝рд╛рдЗрд▓ рдХрд╛ рдзреНрдпрд╛рди рдЖрдХрд░реНрд╖рд┐рдд рдХрд┐рдпрд╛: "рдЫрд╡рд┐ рдлрд╛рдЗрд▓реЗрдВ рд╕реИрдирдбрд┐рд╕реНрдХ рдбреЙрдХреНрд╕рд╣реЗрд▓ рдЙрдкрдпреЛрдЧрд┐рддрд╛ рдпрд╛ рдкреАрдЬреА 4 рдпреВрдбрдмреНрд▓реНрдпреВ рдХреЗ рд╕рд╛рде рдмрдирд╛рдИ рдЬрд╛ рд╕рдХрддреА рд╣реИрдВред"

рд╕реИрдирдбрд┐рд╕реНрдХ рдбреЙрдХреНрд╕рд╣реЗрд▓ рдЙрдкрдпреЛрдЧрд┐рддрд╛рдУрдВ рдиреЗ рдЦреБрдж рдХреЛ рдХрд┐рд╕реА рднреА рддрд░рд╣ рд╕реЗ рдирд╣реАрдВ рдкрд╛рдпрд╛, рдЗрд╕рд▓рд┐рдП рдореБрдЭреЗ рдпрд╣ рдкрддрд╛ рд▓рдЧрд╛рдирд╛ рдерд╛ рдХрд┐ рдЗрд╕ рдЪрд┐рдк рдХреЗ рд╕рд╛рде рдкреАрдЬреА 4 рдпреВрдбрдмреНрд▓реНрдпреВ (4) рдХреИрд╕реЗ рдХрд╛рдо рдХрд░рддрд╛ рд╣реИред рдЙрдиреНрд╣реЛрдВрдиреЗ рдЕрдкрдиреЗ рд╕реЙрдлреНрдЯрд╡реЗрдпрд░ рдореЗрдВ рдкреВрд░реЗ рд╕реИрдирдбрд┐рд╕реНрдХ рдПрд╕рдбреАрдХреЗ рдХреЛ рдПрдореНрдмреЗрдб рдирд╣реАрдВ рдХрд┐рдпрд╛, рд▓реЗрдХрд┐рди TrueFFS рдЙрдкрдпреЛрдЧрд┐рддрд╛рдУрдВ рдХреЗ рд▓рд┐рдП рдЖрд╡рд╢реНрдпрдХ рдирд┐рд░реНрдпрд╛рдд рдХрд┐рдП рдЧрдП рддрд░реАрдХреЛрдВ рдХреЗ рд╕рд╛рде рдПрдХ рдкреНрд▓рдЧ-рдЗрди рдмрдирд╛рдпрд╛, рдЬреЛ рддрдм рдЙрдирдХреЗ рдХрд╛рд░реНрдпрдХреНрд░рдо рд╕реЗ рдмреБрд▓рд╛рдП рдЬрд╛рддреЗ рд╣реИрдВред
рд╣рдо рд╡реИрд╕реЗ рд╣реА рдЪрд▓реЗрдВрдЧреЗред

рдЕрдкрдирд╛ рдЦреБрдж рдХрд╛ рд╕реЙрдлреНрдЯрд╡реЗрдпрд░ рдореЙрдбреНрдпреВрд▓ рдмрдирд╛рдирд╛


рдпрд╣рд╛рдБ рджрд╛рдпрд┐рддреНрд╡ рдХрд╛ рдПрдХ рдЕрд╕реНрд╡реАрдХрд░рдг рд╣реИ, рдЕрд░реНрдерд╛рддреН рдХрд┐ рд▓реЗрдЦрдХ рдЗрд╕ рд▓реЗрдЦ рдореЗрдВ рдЖрдкрдХреЗ рджреНрд╡рд╛рд░рд╛ рдХрд┐рд╕реА рднреА рдЙрдкрдпреЛрдЧ рдХреЗ рд▓рд┐рдП рдХреЛрдИ рдЬрд╝рд┐рдореНрдореЗрджрд╛рд░реА рдирд╣реАрдВ рдЙрдард╛рддрд╛ рд╣реИред
рджреВрд╕рд░реЗ рд╢рдмреНрджреЛрдВ рдореЗрдВ - рдХреЗрд╡рд▓ рдЖрдк рд╣реА рдЕрдкрдиреЗ рдХрд╛рд░реНрдпреЛрдВ рдХреЗ рд▓рд┐рдП рдЬрд┐рдореНрдореЗрджрд╛рд░ рд╣реЛрдВрдЧреЗ, рдЬрд┐рд╕реЗ рдЖрдкрдХреЛ рдЗрд╕ рд╕рд╛рдордЧреНрд░реА рд╕реЗ рдкрд░рд┐рдЪрд┐рдд рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рдкреНрд░реЛрддреНрд╕рд╛рд╣рд┐рдд рдХрд┐рдпрд╛ рдЬрд╛ рд╕рдХрддрд╛ рд╣реИред

рд╣рдо рдкрд┐рдЫрд▓реЗ рд▓реЗрдЦ рдХреА рддрд░рд╣ рд╕рд╣рдордд рд╣реИрдВ, рдкреНрд░реЛрдЧреНрд░рд╛рдорд░ рдкреНрд░реЛрдЧреНрд░рд╛рдорд░ рдХреЛ рд╕реБрдкрд░рдкреНрд░реЛ 6100 рд╕реЗ рдХреЗрд╡рд▓ "рд╕реЙрдлрд╝реНрдЯрд╡реЗрдпрд░" рдХреЙрд▓ рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП, рдФрд░ рдЬрд┐рд╕ рдХрдВрдкреНрдпреВрдЯрд░ рдкрд░ рдпрд╣ рдкреНрд░реЛрдЧреНрд░рд╛рдо рдХрд╛рдо рдХрд░рддрд╛ рд╣реИ рд╡рд╣ "рд╣реЛрд╕реНрдЯ" рд╣реИред рдЕрдм рд╣рдорд╛рд░реЗ рдкрд╛рд╕ рдПрдХ рдФрд░ рдХрд╛рд░реНрдпрдХреНрд░рдо рд╣реИ рдЬреЛ рдкреНрд░реЛрдЧреНрд░рд╛рдорд░ рдореЗрдВ рд╣реА рдХрд╛рдо рдХрд░рддрд╛ рд╣реИред рд╣рдо рдЗрд╕реЗ "рд╕реЙрдлреНрдЯрд╡реЗрдпрд░ рдореЙрдбреНрдпреВрд▓" рдХрд╣реЗрдВрдЧреЗред

TrueFFS 7.1 рдореИрдиреБрдЕрд▓ (3) рдХреЗ рд▓рд┐рдП рд╕реЙрдлреНрдЯрд╡реЗрдпрд░ рдЙрдкрдпреЛрдЧрд┐рддрд╛рдПрдБ DOCSHELL рдЙрдкрдпреЛрдЧрд┐рддрд╛рдУрдВ рджреНрд╡рд╛рд░рд╛ рдХрд╛рд░реНрдпрд╛рдиреНрд╡рд┐рдд рдХрд┐рдП рдЧрдП рдХрд╛рд░реНрдпреЛрдВ рдХрд╛ рд╡рд░реНрдгрди рдХрд░рддреА рд╣реИрдВ, рдЬреЛ рдирд┐рдореНрдирд▓рд┐рдЦрд┐рдд рдЪрд╛рд░ рд╢реНрд░реЗрдгрд┐рдпреЛрдВ рдореЗрдВ рдЖрддреЗ рд╣реИрдВ:

  • DFORMAT - рдПрдХ mDOC рдбрд┐рд╡рд╛рдЗрд╕ рдХреЛ рдкреНрд░рд╛рд░реВрдкрд┐рдд рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рдЙрдкрдпреЛрдЧрд┐рддрд╛рдУрдВред
  • DINFO - mDOC рдбрд┐рд╡рд╛рдЗрд╕ рдФрд░ рдЙрд╕ рдкрд░ рдореМрдЬреВрдж рд╡рд░реНрдЧреЛрдВ рдХреЗ рдмрд╛рд░реЗ рдореЗрдВ рд╡рд┐рднрд┐рдиреНрди рдкреНрд░рдХрд╛рд░ рдХреА рдЬрд╛рдирдХрд╛рд░реА рдкреНрд░рд╛рдкреНрдд рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рдЙрдкрдпреЛрдЧрд┐рддрд╛рдУрдВред
  • DIMAGE - рдкрдврд╝рдиреЗ, рд▓рд┐рдЦрдиреЗ рдФрд░ рдЫрд╡рд┐ mDOC рдбрд┐рд╡рд╛рдЗрд╕ рдХреА рддреБрд▓рдирд╛ рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рдЙрдкрдпреЛрдЧрд┐рддрд╛рдУрдВред
  • SPLITIMAGE - mDOC рдбрд┐рд╡рд╛рдЗрд╕ рдЫрд╡рд┐ рдХреЛ рднрд╛рдЧреЛрдВ рдореЗрдВ рд╡рд┐рднрд╛рдЬрд┐рдд рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рдЙрдкрдпреЛрдЧрд┐рддрд╛рдУрдВред

DOCSHELL рдЙрдкрдпреЛрдЧрд┐рддрд╛рдУрдВ рдХреЛ рдХрдорд╛рдВрдб рд▓рд╛рдЗрди рдХреЗ рд▓рд┐рдП рдЗрд░рд╛рджрд╛ рдХрд┐рдпрд╛ рдЧрдпрд╛ рдерд╛, рдЗрд╕рд▓рд┐рдП, DOCSHELL.dll рдкреНрд▓рдЧрдЗрди рдХреЗ рд╕рд╛рде рд╕рдВрдЪрд╛рд░ рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рдЗрдВрдЯрд░рдлрд╝реЗрд╕ рдХреЛ рдПрдХ рд╣реА рдкрд╛рда рдХрдорд╛рдВрдб рддрдВрддреНрд░ рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░рдХреЗ рд▓рд╛рдЧреВ рдХрд┐рдпрд╛ рдЧрдпрд╛ рдерд╛ред
"DOCSHELL.dll" рдХреЗ рд╕рд╛рде рд╕рдВрдЪрд╛рд░ рд╢реБрд░реВ рдХрд░рдиреЗ рд╕реЗ рдкрд╣рд▓реЗ, рдирд┐рд░реНрдпрд╛рдд рдХрд┐рдП рдЧрдП рддрд░реАрдХреЛрдВ рдореЗрдВ рд╕реЗ рдкреНрд░рддреНрдпреЗрдХ рдХреЛ рдХреЙрд▓ рдХрд░рдирд╛ рдФрд░ рдЙрдиреНрд╣реЗрдВ mDOC рдЪрд┐рдк рдХреЗ рд╕рд╛рде рднреМрддрд┐рдХ рд╡рд┐рдирд┐рдордп рдХреЗ рд▓рд┐рдП рд╕реЙрдлрд╝реНрдЯрд╡реЗрдпрд░ рдореЗрдВ рдХрд╛рд░реНрдпрд╛рдиреНрд╡рд┐рдд рдХрд┐рдП рдЬрд╛рдиреЗ рд╡рд╛рд▓реЗ рдХрд╛рд░реНрдпреЛрдВ рдХреЛ рдЗрдВрдЧрд┐рдд рдХрд░рдирд╛ рдЖрд╡рд╢реНрдпрдХ рд╣реИред рдпреЗ рд▓рд┐рдЦ рд░рд╣реЗ рд╣реИрдВ рдФрд░ рдкрдврд╝ рд░рд╣реЗ рд╣реИрдВ (рдХрдИ рд╕рдВрд╢реЛрдзрдиреЛрдВ рдореЗрдВ), рд╕рд╛рде рд╣реА рд╡рд░реНрддрдорд╛рди рдХрд╛рд░реНрдпреЛрдВ рдХреА рдкреНрд░рдЧрддрд┐ рдкрд░ рдкрд╛рда рд╕рдВрджреЗрд╢ рдкреНрд░рд╛рдкреНрдд рдХрд░рдиреЗ рдХреЗ рддрд░реАрдХреЗ рдФрд░ рдЫрд╡рд┐ рдлрд╝рд╛рдЗрд▓реЛрдВ рдХреЗ рд╕рд╛рде рдХрд╛рдо рдХрд░рдиреЗ рдХреЗ рддрд░реАрдХреЗред

рдПрдХ рдЗрдирдкреБрдЯ рддрд░реНрдХ рдХреЗ рд░реВрдк рдореЗрдВ рдирд┐рд░реНрдпрд╛рдд рдХрд┐рдП рдЧрдП mainEntry рддрд░реАрдХреЛрдВ рдореЗрдВ рд╕реЗ рдПрдХ
ASCIIZ рд╕реНрдЯреНрд░рд┐рдВрдЧ рд╕реНрд╡реАрдХрд╛рд░ рдХрд░рддрд╛ рд╣реИ - TrueFFS 7.1 рдореИрдиреБрдЕрд▓ (3) рдХреЗ рд▓рд┐рдП рд╕реЙрдлреНрдЯрд╡реЗрдпрд░ рдпреВрдЯрд┐рд▓рд┐рдЯреАрдЬ рдореЗрдВ рд╡рд░реНрдгрд┐рдд рдХрдорд╛рдВрдбред

"DOCSHELL.dll" рдХреЗ рдЕрдВрджрд░ рдкрд╛рд░реНрд╕рд░ рдкреНрд░рд╛рдкреНрдд рдХрдорд╛рдВрдб рдХреЛ рд╕рдВрд╕рд╛рдзрд┐рдд рдХрд░рддрд╛ рд╣реИ рдФрд░ рдХрдорд╛рдВрдб рдФрд░ рдЙрд╕рдХреЗ рддрд░реНрдХреЛрдВ рдХреЗ рдЖрдзрд╛рд░ рдкрд░, рдкреНрд░рд╛рд░рдВрднрд┐рдХ рдЖрд░рдВрднреАрдХрд░рдг рдХреЗ рджреМрд░рд╛рди рдкреНрд░рд╛рдкреНрдд рд╕реВрдЪрдХ рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░рдХреЗ рдореБрдЦреНрдп рдкреНрд░реЛрдЧреНрд░рд╛рдорд░ рд╕реЙрдлрд╝реНрдЯрд╡реЗрдпрд░ рд╕реЗ рдПрдХ рдпрд╛ рдХрд┐рд╕реА рдЕрдиреНрдп рд╡рд┐рдзрд┐ рдХреЛ рдХреЙрд▓ рдХрд░рддрд╛ рд╣реИред

рдкреНрд░реЛрдЧреНрд░рд╛рдорд░ рдХреЗ рд▓рд┐рдП рд╕реЙрдлреНрдЯрд╡реЗрдпрд░, рд╣рдордиреЗ рдЕрдкрдирд╛ рдЦреБрдж рдХрд╛ рд▓рд┐рдЦрдиреЗ рдХрд╛ рдлреИрд╕рд▓рд╛ рдХрд┐рдпрд╛ред рдпрд╣ рджреГрд╖реНрдЯрд┐рдХреЛрдг, рдПрдХ рддрд░рдл, рдореЗрдЬрдмрд╛рди рдФрд░ рдкреНрд░реЛрдЧреНрд░рд╛рдорд░ рдХреЗ рдмреАрдЪ рд╕реВрдЪрдирд╛рдУрдВ рдХреЗ рдЖрджрд╛рди-рдкреНрд░рджрд╛рди рдкрд░ рд╕рдордЭреМрддреЛрдВ рдХрд╛ рдкрд╛рд▓рди рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рдореВрд▓ рдлрд╛рдЗрд▓реЛрдВ рдореЗрдВ "рдЦреБрджрд╛рдИ" рд╕реЗ рд╣рдореЗрдВ рдмрдЪрд╛рддрд╛ рд╣реИ, рдФрд░ рджреВрд╕рд░реА рдУрд░, рдЗрд╕рдиреЗ рдбрд┐рдмрдЧрд┐рдВрдЧ рдкреНрд░рдХреНрд░рд┐рдпрд╛ рдХреЛ рдмрд╣реБрдд рд╕реБрд╡рд┐рдзрд╛рдЬрдирдХ рдмрдирд╛рдпрд╛, рдЬреЛ, рдЕрдЧрд░ рдореЙрдбреНрдпреВрд▓ рдореВрд▓ рд╕реЙрдлреНрдЯрд╡реЗрдпрд░ рдореЗрдВ рдПрдХреАрдХреГрдд рдХрд┐рдпрд╛ рдЧрдпрд╛ рдерд╛, рддреЛ рдХреБрдЫ рдкрд╣рд▓реБрдУрдВ рдореЗрдВ рдпрд╣ рдЕрд╕рдВрднрд╡ рд╣реЛ рдЧрдпрд╛ред рдпрд╛ рдмреЗрд╣рдж рдореБрд╢реНрдХрд┐рд▓ рд╣реИред

рдкреНрд░реЛрдЧреНрд░рд╛рдорд░ рдХреЗ рд▓рд┐рдП рдореВрд▓ рдЙрдкрдпреЛрдЧрдХрд░реНрддрд╛ рдЗрдВрдЯрд░рдлрд╝реЗрд╕ рджреГрд╢реНрдп рд╕реНрдЯреВрдбрд┐рдпреЛ 2017 рдореЗрдВ C # рдореЗрдВ рд▓рд┐рдЦрд╛ рдЧрдпрд╛ рдерд╛ред рд╕реНрд░реЛрдд (6) рд╢рд╛рдорд┐рд▓ рд╣реИрдВред

рдмреЗрд╢рдХ, рдХрд╛рд░реНрдпрд╛рддреНрдордХ рдкрд╣рд▓реЗ рд╕реНрдерд╛рди рдкрд░ рдерд╛, рдЗрд╕рд▓рд┐рдП рдЙрдкрд╕реНрдерд┐рддрд┐ рдХреЗ рдХрд┐рд╕реА рднреА рддрдбрд╝рдХ рдХрд╛ рдХреЛрдИ рд╕рд╡рд╛рд▓ рдирд╣реАрдВ рдерд╛, рд╕рд╛рде рд╣реА рд╕реНрд░реЛрдд рдХреЛрдб рдХрд╛ рдкрд╛рда рднреАред рдЗрд╕рд▓рд┐рдП, рдХрд╛рд░реНрдпрдХреНрд░рдо рдХрд╛ рдиреНрдпреВрдирддрдо "рдбрд┐рдЬрд╛рдЗрди" рдирд┐рдореНрдирд╛рдиреБрд╕рд╛рд░ рд╣реИред


рдореБрдЦреНрдп (рдФрд░ рдХреЗрд╡рд▓) рд╡рд┐рдВрдбреЛ рдХреЗ рд╢реАрд░реНрд╖ рдкрд░ рдмрдЯрди рдХреЗ рд▓рд┐рдП рдПрдХ рдореЗрдиреВ рд╣реИ рдЬрд┐рд╕рдореЗрдВ рдЖрдк рдордирдорд╛рдиреЗ рдХрд╛рд░реНрдпреЛрдВ рдХреЛ рдЕрд╕рд╛рдЗрди рдХрд░ рд╕рдХрддреЗ рд╣реИрдВред рдореЗрдиреВ рдЖрдЗрдЯрдо "XILINX" рдмрд╛рдж рдореЗрдВ рд╡рд░реНрдгрд┐рдд рдХрд┐рдпрд╛ рдЬрд╛рдПрдЧрд╛ред

рдиреАрдЪреЗ рджреЛ рдЦрд┐рдбрд╝рдХрд┐рдпрд╛рдВ рд╣реИрдВред рдКрдкрд░реА рднрд╛рдЧ рдкреНрд░реЛрдЧреНрд░рд╛рдо рд╕реЗ рднреЗрдЬреЗ рдЧрдП рд╕рдВрджреЗрд╢реЛрдВ рдХреЛ "DOCSHELL.dll" рдкреНрд▓рдЧрдЗрди рдореЗрдВ рдкреНрд░рджрд░реНрд╢рд┐рдд рдХрд░рддрд╛ рд╣реИ рдФрд░ рдЗрд╕рд╕реЗ рдкреНрд░рд╛рдкреНрдд рд╣реЛрддрд╛ рд╣реИред

рдиреАрдЪреЗ рдХреА рд╡рд┐рдВрдбреЛ рдореЗрдВ, рдЖрдк рдЕрдкрдиреА рдЖрд╡рд╢реНрдпрдХрддрд╛ рдХреЗ рдЕрдиреБрд╕рд╛рд░ рдХрдорд╛рдВрдб рдЯрд╛рдЗрдк рдХрд░ рд╕рдХрддреЗ рд╣реИрдВ рдФрд░ рдЙрдиреНрд╣реЗрдВ рд╕рдВрдмрдВрдзрд┐рдд рд▓рд╛рдЗрди рдореЗрдВ рдбрдмрд▓-рдХреНрд▓рд┐рдХ рдХрд░ рд╕рдХрддреЗ рд╣реИрдВред

рдЬрдм рдХрд╛рд░реНрдпрдХреНрд░рдо рд╢реБрд░реВ рд╣реЛрддрд╛ рд╣реИ, рддреЛ рдЗрд╕рдореЗрдВ рдХреБрдЫ рдХрдорд╛рдВрдб рдкреНрд░рджрд░реНрд╢рд┐рдд рдХрд┐рдП рдЬрд╛рдПрдВрдЧреЗред

рдпрджрд┐ рдЖрдкрдХреЛ рдЕрдЪрд╛рдирдХ рдПрдХ рд╡рд╛рд╕реНрддрд╡рд┐рдХ рдЪрд┐рдк рдХреЗ рд╕рд╛рде рдХрд╛рдо рдХрд░рдирд╛ рд╣реИ - рддреЛ рд╕рд╛рд╡рдзрд╛рди рд░рд╣реЗрдВ, рдХреНрдпреЛрдВрдХрд┐ рдХреЛрдИ рдЪреЗрддрд╛рд╡рдиреА рдирд╣реАрдВ рдХрд┐ рд╕реНрд╡рд░реВрдкрдг рдЖрджрд┐ рдХреЗ рджреМрд░рд╛рди рдЖрдк рд╕рднреА рдбреЗрдЯрд╛ рдЦреЛ рд╕рдХрддреЗ рд╣реИрдВред рдХрд╛рд░реНрдпрдХреНрд░рдо рд▓рд╛рдЧреВ рдирд╣реАрдВ рд╣реИред

"DOCSHELL.dll" рдлрд╝рд╛рдЗрд▓ рдирд┐рд░реНрджреЗрд╢рд┐рдХрд╛ рдореЗрдВ "Dataman" (рдпрд╣ "Elnec" рд╕реЗ рд╕рдВрднрд╡ рд╣реИ ) рд╕реЗ рд╕реНрдерд╛рдкрд┐рдд PG4UW (4) рдкреНрд░реЛрдЧреНрд░рд╛рдо рдХреЗ рд╕рд╛рде рдорд┐рд▓реА рд╣реИред

рдЕрдкрдиреЗ рдХрд╛рд░реНрдпрдХреНрд░рдо рдореЗрдВ рдПрдХ рддреГрддреАрдп-рдкрдХреНрд╖ DLL рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░рдиреЗ рдореЗрдВ рд╕рдХреНрд╖рдо рд╣реЛрдиреЗ рдХреЗ рд▓рд┐рдП, рдЖрдкрдХреЛ рдирд┐рд░реНрдпрд╛рдд рдХреА рдЧрдИ рд╡рд┐рдзрд┐рдпреЛрдВ рдФрд░ рдЙрдирдХреЗ рддрд░реНрдХреЛрдВ рдХреЗ рд╡рд┐рд╡рд░рдг рдХреЗ рд╕рд╛рде рдПрдХ рд╣реЗрдбрд░ рдлрд╝рд╛рдЗрд▓ рдХреА рдЖрд╡рд╢реНрдпрдХрддрд╛ рд╣реЛрддреА рд╣реИред рдЙрд╕рдХреА рдЕрдиреБрдкрд╕реНрдерд┐рддрд┐ рдХреЗ рдХрд╛рд░рдг, рдореБрдЭреЗ рдпрд╣ рдЬрд╛рдирдХрд╛рд░реА рдЦреБрдж рд╣реА рд╡рд╕реВрд▓ рдХрд░рдиреА рдкрдбрд╝реАред рдРрд╕реА рд╡рд╕реВрд▓реА рдХреЗ рд▓рд┐рдП рддрд░реАрдХреЗ рдЗрд╕ рд▓реЗрдЦ рдХреЗ рджрд╛рдпрд░реЗ рд╕реЗ рдкрд░реЗ рд╣реИрдВ, рдЗрд╕рд▓рд┐рдП рдирд┐рд░реНрдпрд╛рдд рдХрд┐рдП рдЧрдП рддрд░реАрдХреЛрдВ рдХреЗ рддрд░реНрдХ рд╕рдВрд▓рдЧреНрди рд╕реНрд░реЛрддреЛрдВ рдореЗрдВ рдкрд╛рдП рдЬрд╛ рд╕рдХрддреЗ рд╣реИрдВред

рдкреНрд▓рдЧрдЗрди рдХреЗ рд╕рд╛рде рдЕрдкрдиреА рдмрд╛рддрдЪреАрдд рдХреЗ рд╕рдВрджрд░реНрдн рдореЗрдВ рдЙрдкрдпреЛрдЧрдХрд░реНрддрд╛ рдЗрдВрдЯрд░рдлрд╝реЗрд╕ рдХреЗ рд╕рд╛рде, рдорд╛рдорд▓рд╛ рдХреБрдЫ рд╣рдж рддрдХ рд╕реНрдкрд╖реНрдЯ рд╣реЛ рдЧрдпрд╛ рд╣реИред рдЕрдм рдЖрдк рднреМрддрд┐рдХ рд╕реНрддрд░ рдкрд░ microcircuit рдХреЗ рд╕рд╛рде рд╕рдВрдЪрд╛рд░ рдХреЗ рдХрд╛рд░реНрдпрд╛рдиреНрд╡рдпрди рдХреЗ рд▓рд┐рдП рдЖрдЧреЗ рдмрдврд╝ рд╕рдХрддреЗ рд╣реИрдВ рддрд╛рдХрд┐ рдкреНрд▓рдЧрдЗрди рд╕реЗ рдкреНрд░рд╛рдкреНрдд / mDOC рд╕реЗ рдкрдврд╝рдиреЗ / рд▓рд┐рдЦрдиреЗ рдХреЗ рдЖрджреЗрд╢реЛрдВ рдХреЛ рдирд┐рд╖реНрдкрд╛рджрд┐рдд рдХрд░рдиреЗ рдореЗрдВ рд╕рдХреНрд╖рдо рд╣реЛ рд╕рдХреЗред

рдкреНрд░реЛрдЧреНрд░рд╛рдорд░ рдХреЗ рд▓рд┐рдП рдкреНрд░реЛрдЧреНрд░рд╛рдо рдореЙрдбреНрдпреВрд▓ рдХреЛ IDE "рдПрдЖрд░рдПрдЖрд░ рдХреЗ рд▓рд┐рдП рдЖрдИрдПрдЖрд░ рдПрдВрдмреЗрдбреЗрдб рд╡рд░реНрдХрдмреЗрдВрдЪ" рдореЗрдВ рд╕реА рднрд╛рд╖рд╛ рдореЗрдВ рд▓рд┐рдЦрд╛ рдЧрдпрд╛ рдерд╛ред рд╕реВрддреНрд░ (7) рд╕рдВрд▓рдЧреНрди рд╣реИрдВред

рдЬреЗрдмреАрдПрдЬреА рдЬреЗ-рд▓рд┐рдВрдХ рдбрд┐рдмрдЧрд░ рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░рдХреЗ рдЗрд╕рдХреА рдбрд┐рдмрдЧрд┐рдВрдЧ рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд┐рдпрд╛ рдЧрдпрд╛ рдерд╛, рдкреНрд░реЛрдЧреНрд░рд╛рдорд░ рдХреЗ рдорд╛рдзреНрдпрдо рд╕реЗ рдПрдХ рдЬреЗрдЯреАрдПрдЬреА рдХрдиреЗрдХреНрдЯрд░ рдХреЗ рдорд╛рдзреНрдпрдо рд╕реЗ рдЬреБрдбрд╝рд╛ рд╣реБрдЖ рдерд╛ рдЬреЛ рдорд╛рдорд▓реЗ рдХреЗ рдХрд┐рдирд╛рд░реЗ рдкрд░ рдШреБрдбрд╝рд╕рд╡рд╛рд░ рдерд╛ рдФрд░ рдПрдХ рдлреНрд▓реИрдЯ рдХреЗрдмрд▓ рджреНрд╡рд╛рд░рд╛ рдорджрд░рдмреЛрд░реНрдб рд╕реЗ рдЬреБрдбрд╝рд╛ рдерд╛ред

JTAG рдбреАрдмрдЧрд░ J-Link v9 рдХреЛ Aliexpress рдкрд░ рдЦрд░реАрджрд╛ рдЧрдпрд╛ рдерд╛ред "рдПрдЖрд░рдПрдо рдХреЗ рд▓рд┐рдП рдЖрдИрдПрдЖрд░ рдПрдВрдмреЗрдбреЗрдб рд╡рд░реНрдХрдмреЗрдВрдЪ" рдХреЗ рд╕рд╛рде рд╕реНрдерд╛рдкрд┐рдд рдбреНрд░рд╛рдЗрд╡рд░ рдЗрд╕рдХреЗ рд╕рд╛рде рд╢рд╛рдирджрд╛рд░ рдХрд╛рдо рдХрд░рддреЗ рд╣реИрдВ, рдФрд░ рдпрд╣рд╛рдВ рддрдХ тАЛтАЛрдХрд┐ SEGGER рд╕реЗ рдореВрд▓ рдлрд░реНрдорд╡реЗрдпрд░ рдХреЛ рдЕрдкрдбреЗрдЯ рдХрд░рдиреЗ рдореЗрдВ рднреА рд╕рдлрд▓ рд░рд╣реЗред


рд╕рдВрд░рдЪрдирд╛рддреНрдордХ рд░реВрдк рд╕реЗ, рдкреНрд░реЛрдЧреНрд░рд╛рдорд░ рдЖрда рдмреЛрд░реНрдбреЛрдВ рдХреЗ рд░реВрдк рдореЗрдВ рдПрдХ рджреВрд╕рд░реЗ рдХреЗ рдКрдкрд░ рд╕реНрдерд┐рдд рд╣реЛрддрд╛ рд╣реИ рдФрд░ рдХрдиреЗрдХреНрдЯрд░реНрд╕ рджреНрд╡рд╛рд░рд╛ рдПрдХ рд╕рд╛рде рдЬреБрдбрд╝рд╛ рд╣реЛрддрд╛ рд╣реИред


рдПрдбрдЬрд╕реНрдЯреЗрдмрд▓ рдбреАрд╕реА-рдбреАрд╕реА рдХрдиреНрд╡рд░реНрдЯрд░реНрд╕ рд╡рд┐рднрд┐рдиреНрди рдореЗрдореЛрд░реА рдорд╛рдЗрдХреНрд░реЛрдХреНрд░рд╛рдИрдХреЗрдЯреНрд╕ рдХреЗ рд╕рд╛рде рдХрд╛рдо рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рдЖрд╡рд╢реНрдпрдХ рдХрдИ рд╡реЛрд▓реНрдЯреЗрдЬ рдЙрддреНрдкрдиреНрди рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рд╕рдмрд╕реЗ рдХрдо рдмреЛрд░реНрдб рдкрд░ рд╕реНрдерд┐рдд рд╣реИрдВред
рдЗрд╕рдХреЗ рдКрдкрд░ рдПрдХ рдорджрд░рдмреЛрд░реНрдб рд╣реИ, рдЬрд┐рд╕ рдкрд░ ATMEL AT91SAM9G20 ARM рдорд╛рдЗрдХреНрд░реЛрдХрдВрдЯреНрд░реЛрд▓рд░, SDRAM, SPI FLASH рд╡рд┐рде рдлрд╝рд░реНрдорд╡реЗрдпрд░, ID рдЪрд┐рдк AE801 рд╡рд┐рдж рдкреНрд░реЛрдЧреНрд░рд╛рдорд░ рдореЙрдбрд▓ рдПрдВрдб рд╕реАрд░рд┐рдпрд▓ рдирдВрдмрд░, USB рдЪрд┐рдк ISP1582, TLC4226 рдбрд┐рдЬрд┐рдЯрд▓-рдЯреВ-рдПрдирд╛рд▓реЙрдЧ рдХрдирд╡рд░реНрдЯрд░ DC-DC рдХрдиреНрд╡рд░реНрдЯрд░реНрд╕ рдХреЗ рд╡реЛрд▓реНрдЯреЗрдЬ рдореИрдиреЗрдЬрдореЗрдВрдЯ рдХреЗ рд▓рд┐рдПред рдореЗрдЬрдмрд╛рди рдХреЛ рдЬреЛрдбрд╝рдиреЗ рдХреЗ рд▓рд┐рдП рдмрд┐рдЬрд▓реА рдХреА рдЖрдкреВрд░реНрддрд┐ рдФрд░ рдпреВрдПрд╕рдмреА рдХреЗрдмрд▓ рдХреЛ рдЬреЛрдбрд╝рдиреЗ рдХреЗ рд▓рд┐рдП рдХрдИ рдЕрдиреНрдп рдЪрд┐рдкреНрд╕ рдФрд░ рдмрд╛рд╣рд░реА рдХрдиреЗрдХреНрдЯрд░ред

рддреАрд╕рд░реЗ рддрд▓ рдкрд░ XILINX XC2S50E рдЪрд┐рдк рд╣реИ, рдЬреЛ рдкрдврд╝рдиреЗ / рд▓рд┐рдЦрдиреЗ рдХреА рдкреНрд░рдХреНрд░рд┐рдпрд╛, рдЖрджрд┐ рдХреЗ рджреМрд░рд╛рди рдкреНрд░реЛрдЧреНрд░рд╛рдорд░ рд╕реЗ рдЬреБрдбрд╝реЗ рдПрдбреЙрдкреНрдЯрд░ рдкрд░ рдЪрд┐рдк рдХреЗ рдкреИрд░реЛрдВ рдХреЛ рдирд┐рдпрдВрддреНрд░рд┐рдд рдХрд░рддрд╛ рд╣реИред
рдЕрдиреНрдп рдкрд╛рдБрдЪ рдмреЛрд░реНрдбреЛрдВ рдореЗрдВ рдХреНрд░рдорд┐рдХ рд░реВрдк рд╕реЗ рд▓реЛрдб рдХрд┐рдП рдЧрдП рд░рдЬрд┐рд╕реНрдЯрд░реЛрдВ рдФрд░ рдЕрд╕реЗрдВрдмрд▓реА рдХреЛ рдЯреНрд░рд╛рдВрдЬрд┐рд╕реНрдЯрд░ рдХреБрдВрдЬрд┐рдпреЛрдВ рдХреЗ рд╕рд╛рде рдЙрдирдХреЗ рдЖрдЙрдЯрдкреБрдЯ рд╕реЗ рдЬреЛрдбрд╝рд╛ рдЬрд╛рддрд╛ рд╣реИ, рдЬрд┐рд╕рдХреЗ рдорд╛рдзреНрдпрдо рд╕реЗ рдбреАрд╕реА-рдбреАрд╕реА рд╡реЛрд▓реНрдЯреЗрдЬ рдХрдиреНрд╡рд░реНрдЯрд░реНрд╕ рджреНрд╡рд╛рд░рд╛ рдмрдирд╛рдИ рдЧрдИ рдЪрд┐рдк рдХреЗ рдПрдХ рдпрд╛ рджреВрд╕рд░реЗ рдкреИрд░ рдореЗрдВ рдорд╛рдЗрдХреНрд░реЛрдХрд┐рд░рд┐рдХреНрдЯреНрд╕ рдХреЛ рд▓рд╛рдЧреВ рдХрд░рдирд╛ рд╕рдВрднрд╡ рд╣реИред
"рдкреГрдереНрд╡реА" рд╕рд╣рд┐рддред рдЪреВрдВрдХрд┐ рдЯреНрд░рд╛рдВрдЬрд┐рд╕реНрдЯрд░ рдХреБрдВрдЬрд┐рдпреЛрдВ рдХреЛ рдирд┐рдпрдВрддреНрд░рд┐рдд рдХрд░рдиреЗ рд╡рд╛рд▓реЗ рд░рдЬрд┐рд╕реНрдЯрд░реЛрдВ рдХреЛ рдХреНрд░рдорд┐рдХ рд░реВрдк рд╕реЗ рд▓реЛрдб рдХрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИ, рдФрд░ рдПрдбреЗрдкреНрдЯрд░ рдореЗрдВ рдирд┐рдпрдВрддреНрд░рд┐рдд рдкреИрд░реЛрдВ рдХреА рд╕рдВрдЦреНрдпрд╛ 144 рддрдХ рдкрд╣реБрдВрдЪ рд╕рдХрддреА рд╣реИ, рдЗрд╕рд▓рд┐рдП рд╕рднреА рдкреНрд░рдореБрдЦ рдмреНрд▓реЙрдХреЛрдВ рдХреЛ рд▓реЛрдб рдХрд░рдиреЗ рдореЗрдВ рдХрд╛рдлреА рд╕рдордп рд▓рдЧрддрд╛ рд╣реИред рдЗрд╕рд▓рд┐рдП, рдЯреНрд░рд╛рдВрдЬрд┐рд╕реНрдЯрд░ рд╕реНрд╡рд┐рдЪ рдХреА рдорджрдж рд╕реЗ, рдХреЗрд╡рд▓ рд╕реНрдереИрддрд┐рдХ рд╕реНрддрд░реЛрдВ рдХреЛ рдорд╛рдЗрдХреНрд░реЛрдХрд┐рд░рд┐рдЯ рдХреЗ рд▓рд┐рдП рдЦрд┐рд▓рд╛рдпрд╛ рдЬрд╛рддрд╛ рд╣реИ: рдЬрдореАрди, рд╢рдХреНрддрд┐, рдЖрджрд┐ред рдФрд░ XILINX рдХреЗ рд╕рд╛рде - рдЧрддрд┐рд╢реАрд▓: рдкрддреЗ, рдбреЗрдЯрд╛, рд╕реАрдПрд╕, OE, RD, WR, рдЖрджрд┐ред

рдЖрдЧреЗ рдмрдврд╝рдиреЗ рдХреЗ рд▓рд┐рдП, рдиреНрдпреВрдирддрдо, рдХрдо рд╕реЗ рдХрдо, XILINX XC2S50E рдорд╛рдЗрдХреНрд░реЛрдХреНрд░реЗрд╕реАрдЯ рдФрд░ рдПрдХ рд╕рд░реНрдХрд┐рдЯ рдЖрд░реЗрдЦ рдХреЗ рд▓рд┐рдП рдлрд░реНрдорд╡реЗрдпрд░ рдмрдирд╛рдиреЗ рдХрд╛ рдПрдХ рд╕рд╛рдзрди рд╣реЛрдирд╛ рдЪрд╛рд╣рд┐рдП, рдпрджрд┐ рдкреВрд░реЗ рдкреНрд░реЛрдЧреНрд░рд╛рдорд░ рдХрд╛ рдирд╣реАрдВ, рддреЛ рдХрдо рд╕реЗ рдХрдо рдЗрд╕рдХрд╛ рд╣рд┐рд╕реНрд╕рд╛ рд╕реАрдкреАрдпреВ - рдПрдлрдкреАрдЬреАрдП - рдПрдбреЗрдкреНрдЯрд░ - рд╕реЙрдХреЗрдЯред

XILINX рд╕реНрдкрд╛рд░реНрдЯрди- IIE рдХреЗ рд▓рд┐рдП IDE рдХреЗ рд░реВрдк рдореЗрдВ, рдореБрдЭреЗ ISE 10.1 рдХреЗ рдкреБрд░рд╛рдиреЗ рд╕рдВрд╕реНрдХрд░рдг рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░рдирд╛ рдерд╛, рдХреНрдпреЛрдВрдХрд┐ рд╕рднреА рдмрд╛рдж рдХреЗ рдЖрдИрдбреАрдИ рд╕реНрдкрд╛рд░реНрдЯрди- II FPGA рдореЙрдбрд▓ рдХрд╛ рд╕рдорд░реНрдерди рдирд╣реАрдВ рдХрд░рддреЗ рд╣реИрдВред

рд╕рд░реНрдХрд┐рдЯ рдЖрд░реЗрдЦ рдХреЗ рд╕рд╛рде рд╕реНрдерд┐рддрд┐ рдЕрдзрд┐рдХ рдЬрдЯрд┐рд▓ рд╣реЛ рдЧрдИред рд╣рдорд╛рд░реА рд░реБрдЪрд┐ рдХреЗ рдпреМрдЧрд┐рдХреЛрдВ рдХреА рдкрд╣рдЪрд╛рди рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП, рд╣рдореЗрдВ рдЙрдирдХреЗ BGA рдорд╛рдорд▓реЛрдВ рдХреЗ рддрд╣рдд рдкреИрдб рддрдХ рдкрд╣реБрдВрдЪ рдкреНрд░рд╛рдкреНрдд рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рдмреЛрд░реНрдбреЛрдВ рд╕реЗ U4 рдФрд░ XILINX U12 рдкреНрд░реЛрд╕реЗрд╕рд░ рдХреЛ "рдирд┐рдХрд╛рд▓рдирд╛" рдкрдбрд╝рд╛, рдХреНрдпреЛрдВрдХрд┐ рдЙрди рд╕рднреА рдореЗрдВ рд░рд┐рд╡рд░реНрд╕ рд╕рд╛рдЗрдб рдХрд╛ рд╕реНрд╡рд┐рдЪ рдирд╣реАрдВ рд╣реИред
рд╣реЛрд╕реНрдЯ рдХрдИ рдПрдВрдбрдкреЙрдЗрдВрдЯреНрд╕ (рдПрдВрдбрдкреЙрдЗрдВрдЯреНрд╕) рдХреЗ рдорд╛рдзреНрдпрдо рд╕реЗ рдпреВрдПрд╕рдмреА рдХреЗ рдорд╛рдзреНрдпрдо рд╕реЗ рдкреНрд░реЛрдЧреНрд░рд╛рдорд░ рдХреЗ рд╕рд╛рде рд╕рдВрдЪрд╛рд░ рдХрд░рддрд╛ рд╣реИред рдореЗрдЬрдмрд╛рди рд╣рдореЗрд╢рд╛ рдПрдХ рдореЗрдЬрдмрд╛рди рдХреЗ рд░реВрдк рдореЗрдВ рдХрд╛рд░реНрдп рдХрд░рддрд╛ рд╣реИред рдПрдХ рд╕рдорд╛рдкрди рдмрд┐рдВрджреБ рдХреЗ рдорд╛рдзреНрдпрдо рд╕реЗ, рд╣реЛрд╕реНрдЯ рдкреНрд░реЛрдЧреНрд░рд╛рдорд░ рдХреЛ рдПрдХ рдХрдорд╛рдВрдб рднреЗрдЬрддрд╛ рд╣реИ рдФрд░ рдЗрд╕рдХреЗ рдорд╛рдзреНрдпрдо рд╕реЗ рдкреБрд╖реНрдЯрд┐ рдкреНрд░рд╛рдкреНрдд рдХрд░рддрд╛ рд╣реИ,
рдПрдХ рджреВрд╕рд░реЗ рдХреЗ рдорд╛рдзреНрдпрдо рд╕реЗ рд╡реЗ рдПрдХ рджреВрд╕рд░реЗ рдХреЗ рд╕рд╛рде рдбреЗрдЯрд╛ рдХрд╛ рдЖрджрд╛рди-рдкреНрд░рджрд╛рди рдХрд░рддреЗ рд╣реИрдВред

рдкреНрд░реЛрдЧреНрд░рд╛рдо рдореЙрдбреНрдпреВрд▓ рдореЗрдВ рд╣реЛрд╕реНрдЯ рд╕реЗ рдкрд╛рд░реНрд╕рд┐рдВрдЧ рдХрдорд╛рдВрдб USB_ReceiveBuf_EP1RX_Parse () рд╡рд┐рдзрд┐ рдореЗрдВ рдХрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИред

рдХрдорд╛рдВрдб рдкреИрдХреЗрдЬ CMD_PROG рд╕рдВрд░рдЪрдирд╛ рджреНрд╡рд╛рд░рд╛ рд╡рд░реНрдгрд┐рдд рд╣реИ рдФрд░ рдЗрд╕рдореЗрдВ рдХрдИ рдлрд╝реАрд▓реНрдб рд╢рд╛рдорд┐рд▓ рд╣реИрдВред рдпрджрд┐ Cmd рдлрд╝реАрд▓реНрдб рдореЗрдВ 1 рд╣реЛрддрд╛ рд╣реИ, рддреЛ рдпрд╣ microcircuit рдХреЗ рд╕рд╛рде рдХрд╛рдо рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рдПрдХ рдХрдорд╛рдВрдб рд╣реИ рдФрд░ рдЗрд╕ рдорд╛рдорд▓реЗ рдореЗрдВ ProgProcNum рдлрд╝реАрд▓реНрдб PROG_PROC рд╕рдВрд░рдЪрдирд╛рдУрдВ рдХреЗ _progProcedures рд╕рд░рдгреА рдореЗрдВ рд╕реВрдЪрдХрд╛рдВрдХ рд╣реИ, рдЬрд┐рд╕рдореЗрдВ рд╕реЗ рдПрдХ рдореЗрдВ рдПрдХ рдкреЙрдЗрдВрдЯрд░ рдХреЛ рдирд┐рд╖реНрдкрд╛рджрд┐рдд рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рдХрдорд╛рдВрдб рдореЗрдВ рдлрд╝реАрд▓реНрдб рд╕рдВрдЧреНрд░рд╣реАрдд рдХрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИред

рд╕реНрдерд╛рдкрд┐рдд рдкреНрд░реЛрдЧреНрд░рд╛рдо "SUPERPRO 6100N" рдХреЗ рд╕рд╛рде рдирд┐рд░реНрджреЗрд╢рд┐рдХрд╛ рдореЗрдВ рдПрдХ рдЙрдкрдирд┐рд░реНрджреЗрд╢рд┐рдХрд╛ "\ lib" рд╣реИред рдпрд╣ "* .bin" рдПрдХреНрд╕рдЯреЗрдВрд╢рди рдХреЗ рд╕рд╛рде рдкреНрд░реЛрдЧреНрд░рд╛рдорд░ рджреНрд╡рд╛рд░рд╛ рд╕рдорд░реНрдерд┐рдд рд╕рднреА рдкреНрд░рдХрд╛рд░ рдХреЗ рдЪрд┐рдкреНрд╕ рдХреЗ рд▓рд┐рдП XILINX рдлрд░реНрдорд╡реЗрдпрд░ рдлрд╝рд╛рдЗрд▓реЛрдВ рдХреЛ рд╕рдВрдЧреНрд░рд╣реАрдд рдХрд░рддрд╛ рд╣реИред рдЙрдирдореЗрдВ рдПрдбреЗрдкреНрдЯрд░ рдореЗрдВ рд╕реЙрдХреЗрдЯреНрд╕ рдХреЗ рд╕рдВрдкрд░реНрдХреЛрдВ рдХреЗ рд╕рд╛рде рдорд╛рдЗрдХреНрд░реЛрдХрд┐рд░рд┐рдЯ рдХреЗ рдкреИрд░реЛрдВ рдХреЗ рд╕рдВрдкрд░реНрдХ рдХреА рдЬрд╛рдВрдЪ рдХреЗ рд▓рд┐рдП рджреЛ рд╕рд╛рд░реНрд╡рднреМрдорд┐рдХ рдлрд░реНрдорд╡реЗрдпрд░ рд╣реИрдВред

рдпрд╣ рд╕рднреА XILINX рдкреБрд▓-рдЕрдк рдкреИрд░реЛрдВ рдХреЗ рд▓рд┐рдП рдЖрдВрддрд░рд┐рдХ рдкреБрд▓-рдЕрдк рдФрд░ рдЖрдВрддрд░рд┐рдХ-рдкреБрд▓-рдкреБрд▓ рдкреБрд▓ рдХреЗ рд╕рд╛рде "GENERAL_.BIN" рдХреЗ рд╕рд╛рде "рд╕рд╛рдорд╛рдиреНрдп ~ .BIN" рд╣реИред

Microcircuit рдкреИрд░реЛрдВ рдХреЗ рд╕рдВрдкрд░реНрдХ рдХреА рдЬрд╛рдБрдЪ рд╕реЙрдлреНрдЯрд╡реЗрдпрд░ рдореЙрдбреНрдпреВрд▓ рдХреЗ SOCKET_CkeckInsertIC () рд╡рд┐рдзрд┐ рдореЗрдВ рдХреА рдЬрд╛рддреА рд╣реИред

рд╕рдмрд╕реЗ рдкрд╣рд▓реЗ, "GENERAL_.BIN" рдлрд░реНрдорд╡реЗрдпрд░ рдХреЛ XILINX рдореЗрдВ рд▓реЛрдб рдХрд┐рдпрд╛ рдЧрдпрд╛ рд╣реИ рдФрд░ рдЗрд╕рдХреА рдорджрдж рд╕реЗ рд╕реЙрдХреЗрдЯ рд╕реЗ рдЬреБрдбрд╝реЗ рд╕рднреА FPGA рдкреИрд░реЛрдВ рдХреЛ рдЖрдЙрдЯрдкреБрдЯ рдХреЗ рд▓рд┐рдП рдХреЙрдиреНрдлрд╝рд┐рдЧрд░ рдХрд┐рдпрд╛ рдЧрдпрд╛ рд╣реИ рдФрд░ рддрд╛рд░реНрдХрд┐рдХ "1" рдЙрдиреНрд╣реЗрдВ рдЖрдкреВрд░реНрддрд┐ рдХреА рдЬрд╛рддреА рд╣реИред рдлрд┐рд░, рдмрджрд▓реЗ рдореЗрдВ, рдкреНрд░рддреНрдпреЗрдХ FPGA рдкреИрд░ рдХреЛ рдЗрдирдкреБрдЯ рдХреЗ рд▓рд┐рдП рдкреБрди: рдХреЙрдиреНрдлрд╝рд┐рдЧрд░ рдХрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИ, рдПрдХ рддрд╛рд░реНрдХрд┐рдХ рд╕реНрддрд░ рдЗрд╕рд╕реЗ рдкрдврд╝рд╛ рдЬрд╛рддрд╛ рд╣реИ, рдФрд░ рдлрд┐рд░ "1" рдлрд┐рд░ рд╕реЗ рдЗрд╕ рдкреИрд░ рдкрд░ рдЖрдЙрдЯрдкреБрдЯ рд╣реЛрддрд╛ рд╣реИред

рдпрджрд┐ рдорд╛рдЗрдХреНрд░реЛрдХреНрд░рд┐рдХрд┐рдЯ рд▓реЗрдЧ рдХрд╛ рд╕рдВрдмрдВрдзрд┐рдд рд╕реЙрдХреЗрдЯ рд▓реЗрдЧ рдХреЗ рд╕рд╛рде рд╡рд┐рджреНрдпреБрдд рд╕рдВрдкрд░реНрдХ рд╣реЛрддрд╛ рд╣реИ, рддреЛ рдЗрд╕реЗ "1" рд╕реЗ рдкрдврд╝рд╛ рдЬрд╛рдирд╛ рдЪрд╛рд╣рд┐рдП (рдЕрдиреНрдп рд╕рднреА рдкреИрд░реЛрдВ рд╕реЗ рдорд╛рдЗрдХреНрд░реЛрдХреНрд░рд┐рдХреВрдЯ рдХреЗ рдЖрдВрддрд░рд┐рдХ рд╕реБрд░рдХреНрд╖рд╛рддреНрдордХ рдбрд╛рдпреЛрдб рдХреЗ рдорд╛рдзреНрдпрдо рд╕реЗ)ред рдФрд░ рд╕рдВрдкрд░реНрдХ рдХреЗ рдЕрднрд╛рд╡ рдореЗрдВ, рдЗрд╕ рддрдереНрдп рдХреЗ рдХрд╛рд░рдг рдХрд┐ рд╕рднреА FPGA рдкрд┐рди рдХреЛ рдЬрдореАрди рдореЗрдВ рдЦреАрдВрдЪ рд▓рд┐рдпрд╛ рдЧрдпрд╛ рд╣реИ, рдЗрд╕ рдЗрдирдкреБрдЯ рд╕реЗ "0" рдкрдврд╝рд╛ рдЬрд╛рдПрдЧрд╛ред рдЙрд╕рдХреЗ рдмрд╛рдж, рдЗрд╕ рддрд░рд╣ рд╕реЗ рдкрдврд╝реЗ рдЬрд╛рдиреЗ рд╡рд╛рд▓реЗ рддрд╛рд░реНрдХрд┐рдХ рд╕реНрддрд░реЛрдВ рдХреА рдПрдХ рд╕рд░рдгреА рдХреЛ рдореЗрдЬрдмрд╛рди рдХреЛ рднреЗрдЬрд╛ рдЬрд╛рддрд╛ рд╣реИ рдФрд░ рд╡рд╣рд╛рдВ рд╕рдВрд╕рд╛рдзрд┐рдд рдХрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИред рдЗрд╕рдХреЗ рдмрд╛рдж, рдирд┐рд░реНрджрд┐рд╖реНрдЯ рдСрдкрд░реЗрд╢рди рдХрд╛ рдирд┐рд╖реНрдкрд╛рджрди рдЬрд╛рд░реА рд░рд╣рддрд╛ рд╣реИ, рдпрд╛ рд╕реЙрдХреЗрдЯ рдореЗрдВ рдорд╛рдЗрдХреНрд░реЛрдХреНрд░рд┐рдХрд┐рдЯ рдХреЗ рд╕рдВрдмрдВрдзрд┐рдд рдкреИрд░реЛрдВ рдХреЗ рдЧреИрд░- VKontakte рдХреЗ рдмрд╛рд░реЗ рдореЗрдВ рдПрдХ рд╕рдВрджреЗрд╢ рдкреНрд░рджрд░реНрд╢рд┐рдд рд╣реЛрддрд╛ рд╣реИред
рдЗрд╕ рдкрд░реАрдХреНрд╖рдг рдХреЛ рд╕рдлрд▓рддрд╛рдкреВрд░реНрд╡рдХ рдкрд╛рд╕ рдХрд░рдиреЗ рдХреЗ рдмрд╛рдж, рд╣реЛрд╕реНрдЯ рдкреНрд░реЛрдЧреНрд░рд╛рдорд░ рдХреЛ рдПрдбреЗрдкреНрдЯрд░ рдореЗрдВ рд╕реНрдерд╛рдкрд┐рдд рдЪрд┐рдк рдХреЗ рдЕрдиреБрд░реВрдк XILINX рдХреЗ рд▓рд┐рдП рдлрд░реНрдорд╡реЗрдпрд░ рднреЗрдЬрддрд╛ рд╣реИред

ISE 10.1 рдореЗрдВ FPGA рдХреЗ рд▓рд┐рдП рдПрдХ рдкреНрд░реЛрдЧреНрд░рд╛рдо рдХреЛ рд╕рдВрдХрд▓рд┐рдд рдХрд░рдирд╛ (рд╕рдВрд╢реНрд▓реЗрд╖рдг рдкреНрд░рдХреНрд░рд┐рдпрд╛рдУрдВ рдХрд╛ рдХреНрд░рдорд┐рдХ рдирд┐рд╖реНрдкрд╛рджрди (рд╕рд┐рдВрдереЗрд╕рд╛рдЗрдЬрд╝), рдПрдХ рдбрд┐рдЬрд╝рд╛рдЗрди рдХрд╛ рдХрд╛рд░реНрдпрд╛рдиреНрд╡рдпрди (рдХрд╛рд░реНрдпрд╛рдиреНрд╡рдпрди рдбрд┐рдЬрд╝рд╛рдЗрди) рдФрд░ рдкреНрд░реЛрдЧреНрд░рд╛рдорд┐рдВрдЧ рдлрд╝рд╛рдЗрд▓реЛрдВ рдХреА рдкреАрдврд╝реА (рдЙрддреНрдкрдиреНрди рдкреНрд░реЛрдЧреНрд░рд╛рдорд┐рдВрдЧ рдлрд╝рд╛рдЗрд▓) рдкрд░рд┐рдпреЛрдЬрдирд╛ рдирд┐рд░реНрджреЗрд╢рд┐рдХрд╛ рдореЗрдВ 78756 рдмрд╛рдЗрдЯреНрд╕ рдХреА рдПрдХ рдмрд╛рдЗрдирд░реА рдХреЙрдиреНрдлрд╝рд┐рдЧрд░реЗрд╢рди рдлрд╝рд╛рдЗрд▓ "xxtek.bin" рдмрдирд╛рддрд╛ рд╣реИред рдЗрд╕рдХреЗ рд▓рд┐рдП, "рд╕рд╛рдорд╛рдиреНрдп рд╡рд┐рдХрд▓реНрдк" рд╢реНрд░реЗрдгреА рдореЗрдВ "рдкреНрд░рдХреНрд░рд┐рдпрд╛рдПрдВ" рд╡рд┐рдВрдбреЛ рдореЗрдВ "рдЬрдирд░реЗрдЯ рдкреНрд░реЛрдЧреНрд░рд╛рдорд┐рдВрдЧ рдлрд╝рд╛рдЗрд▓" рдкреНрд░рдХреНрд░рд┐рдпрд╛ рдХреЗ рдЧреБрдгреЛрдВ рдореЗрдВ, рджреЛ рд╡рд┐рдХрд▓реНрдк рд╕реЗрдЯ рдХрд░рдиреЗ рд╣реЛрдВрдЧреЗ: "рдмрд┐рдЯ рдлрд╝рд╛рдЗрд▓ рдмрдирд╛рдПрдБ" рдФрд░ "рдмрд╛рдпрд┐рдмрд░реА рдХреЙрдиреНрдлрд╝рд┐рдЧрд░реЗрд╢рди рдлрд╝рд╛рдЗрд▓ рдмрдирд╛рдПрдБ"ред

рдпрд╣ рдЬреНрдЮрд╛рдд рдирд╣реАрдВ рд╣реИ рдХрд┐ рдХрд┐рди рдХрд╛рд░рдгреЛрдВ рд╕реЗ, рд▓реЗрдХрд┐рди XELTEK рдкреНрд░реЛрдЧреНрд░рд╛рдорд░реНрд╕ рдиреЗ рдЗрд╕ рддрд░рд╣ рд╕реЗ рдкреНрд░рддреНрдпреЗрдХ рдмрд╛рдЗрдЯ рдореЗрдВ рд╕рднреА рдмрд┐рдЯреНрд╕ рдХреЛ рдорд┐рд░рд░ рдХрд░рдХреЗ рдкреНрд░рд╛рдкреНрдд рдлрд╝рд╛рдЗрд▓реЛрдВ рдХреЛ рд╕рдВрд╢реЛрдзрд┐рдд рдХрд░рдиреЗ рдХрд╛ рдирд┐рд░реНрдгрдп рд▓рд┐рдпрд╛ред

рдпрджрд┐ рдХрд┐рд╕реА рднреА рдХрд╛рд░рдг рд╕реЗ рдЖрдкрдХреЛ рдЕрдкрдиреА рд╕реНрд╡рдпрдВ рдХреА рдлрд╝рд╛рдЗрд▓ рдХреЛ рдЗрд╕ рддрд░рд╣ "рдорд┐рд░рд░" рдХрд░рдиреЗ рдХреА рдЖрд╡рд╢реНрдпрдХрддрд╛ рд╣реЛрддреА рд╣реИ, рдпрд╛ "рдорд┐рд░рд░" рдХреА рдлрд╛рдЗрд▓ "\ lib" рдбрд╛рдпрд░реЗрдХреНрдЯрд░реА рд╕реЗ рд╕рд╛рдорд╛рдиреНрдп рджреГрд╢реНрдп рдореЗрдВ рд╡рд╛рдкрд╕ рдЖ рдЬрд╛рддреА рд╣реИ, рддреЛ рд╕реЙрдлреНрдЯрд╡реЗрдпрд░ рдореЗрдВ "XILINX" рдореЗрдиреВ рдореЗрдВ рдЗрд╕ рдЙрджреНрджреЗрд╢реНрдп рдХреЗ рд▓рд┐рдП рдЖрдЗрдЯрдо "рдмрд┐рдЯрд╕реНрдЯреНрд░реАрдо рдХрдиреНрд╡рд░реНрдЯрд░" (рдирд╛рдо рдХреЗ рдЕрдВрдд рдореЗрдВ) рд╣реЛрддрд╛ рд╣реИред рдкрд░рд┐рдгрд╛рдореА рдлрд╝рд╛рдЗрд▓ рдХреЛ рд░реЗрдЦрд╛рдВрдХрд┐рдд рдХрд┐рдпрд╛ рдЧрдпрд╛ рд╣реИ)ред

рднреМрддрд┐рдХ рд╕реНрддрд░ рдкрд░ SDED5 рдЪрд┐рдк рдХреЗ рд╕рд╛рде рдХрд╛рдо рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП, рд╕реЙрдлреНрдЯрд╡реЗрдпрд░ рдореЙрдбреНрдпреВрд▓ рдореЗрдВ рдирд┐рдореНрдирд▓рд┐рдЦрд┐рдд рдЪрд╛рд░ рд╡рд┐рдзрд┐рдпрд╛рдВ рд▓рд╛рдЧреВ рдХреА рдЧрдИ рд╣реИрдВ:

- PROGPROC_FLWRITE_IO_WORD () - рдирд┐рд░реНрджрд┐рд╖реНрдЯ рдкрддреЗ рдкрд░ рдПрдХ рд╢рдмреНрдж (16 рдмрд┐рдЯреНрд╕) рд░рд┐рдХреЙрд░реНрдб рдХрд░реЗрдВ
- PROGPROC_FLREAD_IO_WORD () - рдирд┐рд░реНрджрд┐рд╖реНрдЯ рдкрддреЗ рдкрд░ рд╢рдмреНрдж (16 рдмрд┐рдЯреНрд╕) рдкрдврд╝реЗрдВ
- PROGPROC_hal_blk_write_nor () - рдирд┐рд░реНрджрд┐рд╖реНрдЯ рдкрддреЗ рдкрд░ рдПрдХ рдпрд╛ рдПрдХ рд╕реЗ рдЕрдзрд┐рдХ рд╕реЗрдХреНрдЯрд░ (512 рдмрд╛рдЗрдЯреНрд╕) рд▓рд┐рдЦреЗрдВ
- PROGPROC_hal_blk_read_nor () - рдирд┐рд░реНрджрд┐рд╖реНрдЯ рдкрддреЗ рдкрд░ рдПрдХ рдпрд╛ рдПрдХ рд╕реЗ рдЕрдзрд┐рдХ рд╕реЗрдХреНрдЯрд░ (512 рдмрд╛рдЗрдЯреНрд╕) рдкрдврд╝реЗрдВ

рд╣рдорд╛рд░реЗ рдлрд░реНрдорд╡реЗрдпрд░ рдореЗрдВ FPGA XILINX рдХреЗ рд╕рд╛рде рдмрд╛рддрдЪреАрдд рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП, рд╣рдордиреЗ рдЪрд╛рд░ рд░рдЬрд┐рд╕реНрдЯрд░реЛрдВ (I / O рдкреЛрд░реНрдЯреНрд╕ рдХреА рдкрд╣рдЪрд╛рди рдХреА, рдЬрд┐рдиреНрд╣реЗрдВ ARM рд╕реНрд░реЛрддреЛрдВ рдХреЗ рд▓рд┐рдП common.h рдлрд╝рд╛рдЗрд▓ рдореЗрдВ рд╡рд░реНрдгрд┐рдд рдХрд┐рдпрд╛ рдЧрдпрд╛ рд╣реИ)ред

- _IC_ADDR (0x30000010)
- _IC_DATA (0x30000012)
- _IC_CTRL (0x30000014) // рдЖрдЙрдЯ: 0 - рд╣рдо, 1 - 0 рдИ, 2 - рд╕реАрдИ, 3 - рдЖрд░рдПрд╕рдЯреАрдЖрдИрдПрди; рдореЗрдВ: 0 - BUSY
- _IC_ENABLE (0x30000016) // рдореЗрдВ: 7 - рдХрд╛рд░реНрдп рдЕрдиреБрдорддрд┐ (0 - рд╕рдХреНрд░рд┐рдп, 1 - рдЬреЗрдб рдореЗрдВ рд╕реЙрдХреЗрдЯ рдкрд░ рд╕рднреА рдкреИрд░)

_IC_ADDR рдФрд░ _IC_DATA, SDED5 рдкреНрд░реЛрдЧреНрд░рд╛рдореЗрдмрд▓ рдЪрд┐рдк рдХреЗ рд▓рд┐рдП 16-рдмрд┐рдЯ рдПрдбреНрд░реЗрд╕ рдФрд░ рдбреЗрдЯрд╛ рд░рдЬрд┐рд╕реНрдЯрд░ рд╣реИрдВ;
_IC_CTRL - 8-рдмрд┐рдЯ рдХрдВрдЯреНрд░реЛрд▓ рд░рдЬрд┐рд╕реНрдЯрд░ рдЬрд┐рд╕рдХреЗ рдорд╛рдзреНрдпрдо рд╕реЗ WE, OE, CE рдФрд░ RSTIN рд╕рд┐рдЧреНрдирд▓ рд╕реЗрдЯ рдХрд┐рдП рдЬрд╛рддреЗ рд╣реИрдВ рдФрд░ SDY5 рд╕реЗ BUSY рд╕рд┐рдЧреНрдирд▓ рдкрдврд╝рд╛ рдЬрд╛рддрд╛ рд╣реИред

рдореВрд▓ рд╕реЙрдлреНрдЯрд╡реЗрдпрд░ рдореЙрдбреНрдпреВрд▓ FxAs рдХреЗ рд╕рд╛рде рд╕рдВрд╡рд╛рдж рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП 0x30000000 рд╕реЗ 0x3000000E рдкрддреЗ рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░рддреЗ рд╣реИрдВред CPEL XELTEK рд╢рд┐рд▓рд╛рд▓реЗрдЦ рдХреЗ рд╕рд╛рде рдкреНрд░реЛрдЧреНрд░рд╛рдорд░ рдореЗрдВ рдПрдХ рдПрдбреНрд░реЗрд╕ рдбрд┐рдХреЛрдбрд░ рдХреЗ рд░реВрдк рдореЗрдВ рд╕реНрдерд╛рдкрд┐рдд рдХрд┐рдпрд╛ рдЧрдпрд╛ рд╣реИ, рдФрд░ рдЬрдм рд╕реЗ рд╣рдо рдЗрд╕рдХреЗ рдлрд░реНрдорд╡реЗрдпрд░ рдХреЛ рдирд╣реАрдВ рдЬрд╛рдирддреЗ рд╣реИрдВ, рд╣рдордиреЗ "рдорд╛рдирдХ" рдкрддреЛрдВ рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░рддреЗ рд╕рдордп рдХрд┐рд╕реА рдФрд░ рдХреЗ рд╡реНрдпрд╡рд╣рд╛рд░ рддрд░реНрдХ рдХреЛ рдкреНрд░рдХрдЯ рдХрд░рдиреЗ рд╕реЗ рдЕрдирдкреЗрдХреНрд╖рд┐рдд рдкрд░рд┐рдгрд╛рдореЛрдВ рдХреА рд╕рдВрднрд╛рд╡рдирд╛ рдХреЛ рдХрдо рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП 0x30000010 рд╕реЗ рдкрддреЗ рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд┐рдпрд╛ред

FPGA рдореЗрдВ рдЕрдкрдиреЗ рдлрд╝рд░реНрдорд╡реЗрдпрд░ рдХреЛ рд▓реЛрдб рдХрд░рдиреЗ рдХреЗ рдмрд╛рдж, рд╕реЙрдХреЗрдЯ рдореЗрдВ microcircuit рдХреЗ рдкреИрд░реЛрдВ рд╕реЗ рдЬреБрдбрд╝реЗ рд╕рднреА FPGA рдЖрдЙрдЯрдкреБрдЯ Z рдЕрд╡рд╕реНрдерд╛ рдореЗрдВ рд╣реИрдВ рдФрд░ рдЗрд╕рдХреЗ рд╕рд╛рде рдХрд╛рдо рдХрд░рдирд╛ рд╢реБрд░реВ рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП, рдЖрдкрдХреЛ _IC_ENABLE рд░рдЬрд┐рд╕реНрдЯрд░ рдХреЗ рд╕рд╛рддрд╡реЗрдВ рдмрд┐рдЯ рдкрд░ рд╢реВрдиреНрдп рд▓рд┐рдЦрдХрд░ рд░рд┐рдЬрд╝реЙрд▓реНрдпреВрд╢рди рдХреЛ рд╕рдХреНрд╖рдо рдХрд░рдирд╛ рд╣реЛрдЧрд╛ред

рдкреВрд░реЗ рд╕рд┐рд╕реНрдЯрдо рдХрд╛ рдПрд▓реНрдЧреЛрд░рд┐рдереНрдо рдирд┐рдореНрдирд╛рдиреБрд╕рд╛рд░ рд▓рдЧ рд╕рдХрддрд╛ рд╣реИред

  1. рд╣реЛрд╕реНрдЯ рдкрд░ рд╕реЙрдлрд╝реНрдЯрд╡реЗрдпрд░ рд╢реБрд░реВ рдХрд░рдиреЗ рдХреЗ рдмрд╛рдж, рдпрд╣ рдЬрд╛рдБрдЪрддрд╛ рд╣реИ рдХрд┐ рдХреНрдпрд╛ рдпреВрдПрд╕рдмреА рдХреЗ рдорд╛рдзреНрдпрдо рд╕реЗ рдкреНрд░реЛрдЧреНрд░рд╛рдорд░ рд╕реЗ рдХреЛрдИ рдХрдиреЗрдХреНрд╢рди рд╣реИ рдФрд░ рдореБрдЦреНрдп рд╡рд┐рдВрдбреЛ рдХреЗ рдиреАрдЪреЗ рд╕реНрдерд┐рддрд┐ рдкрдЯреНрдЯреА рдореЗрдВ рд╕рдВрдмрдВрдзрд┐рдд рд╕рдВрджреЗрд╢ рдкреНрд░рджрд░реНрд╢рд┐рдд рдХрд░рддрд╛ рд╣реИ
    (рдкреНрд░реЛрдЧреНрд░рд╛рдорд░ рдХрд╛рд░реНрдпрдХреНрд░рдо рдХреА рд╢реБрд░реБрдЖрдд рдХреЗ рдмрд╛рдж рдЬреБрдбрд╝рд╛ рд╣реЛ рд╕рдХрддрд╛ рд╣реИ)ред
  2. рдЙрдкрдпреЛрдЧрдХрд░реНрддрд╛ рдЙрд╕ рдЪрд┐рдк рдХреЗ рдкреНрд░рдХрд╛рд░ рдХрд╛ рдЪрдпрди рдХрд░рддрд╛ рд╣реИ рдЬрд┐рд╕рдХреЗ рд╕рд╛рде рд╡рд╣ рдХрд╛рдо рдХрд░рдиреЗ рдХрд╛ рдЗрд░рд╛рджрд╛ рд░рдЦрддрд╛ рд╣реИред
  3. рдбреЗрдЯрд╛рдмреЗрд╕ рдореЗрдВ (рд╕рд░рд▓рддрдо рдорд╛рдорд▓реЗ рдореЗрдВ, рдмрд╕ рдлрд╝рд╛рдЗрд▓ рдореЗрдВ), рдЪрдпрдирд┐рдд рдорд╛рдЗрдХреНрд░реЛрдХреНрд░рд┐рдХрд┐рдЯ рдЖрд╡рд╢реНрдпрдХ рдПрдбрд╛рдкреНрдЯрд░ рдХреЗ рдкреНрд░рдХрд╛рд░ рд╕реЗ рдореЗрд▓ рдЦрд╛рддрд╛ рд╣реИ рдФрд░ рдЗрд╕рдореЗрдВ рд╕реНрдерд╛рдкрд┐рдд рдПрдбрд╛рдкреНрдЯрд░ рдХреЗ рдкреНрд░рдХрд╛рд░ рдХреЗ рд▓рд┐рдП рдкреНрд░реЛрдЧреНрд░рд╛рдорд░ рдХреЛ рдПрдХ рдЕрдиреБрд░реЛрдз рднреЗрдЬрд╛ рдЬрд╛рддрд╛ рд╣реИред
  4. рдкреНрд░реЛрдЧреНрд░рд╛рдорд░ рдПрдбреЗрдкреНрдЯрд░ рдХреЛ рдЙрд╕рдХреЗ рдкреНрд░рдХрд╛рд░ рдХреЗ рд▓рд┐рдП рдкреВрдЫрддрд╛ рд╣реИ рдФрд░ рдЗрд╕ рдЬрд╛рдирдХрд╛рд░реА рдХреЛ рд╣реЛрд╕реНрдЯ рдореЗрдВ рд╡рд╛рдкрд╕ рднреЗрдЬрддрд╛ рд╣реИ, рдЬрд╣рд╛рдВ рдЗрд╕ рдЬрд╛рдирдХрд╛рд░реА рдХреА рддреБрд▓рдирд╛ рдбреЗрдЯрд╛рдмреЗрд╕ рдореЗрдВ рдкрд╛рдП рдЬрд╛рдиреЗ рд╡рд╛рд▓реЗ рд╕реЗ рдХреА рдЬрд╛рддреА рд╣реИ, рдФрд░ рдпрджрд┐ рдПрдбреЙрдкреНрдЯрд░ рдЯрд╛рдЗрдк рд╕реЗ рдореЗрд▓ рдЦрд╛рддрд╛ рд╣реИ, рддреЛ рдХрд╛рдо рдЬрд╛рд░реА рд░рд╣рддрд╛ рд╣реИред
  5. рд╕реЙрдлреНрдЯрд╡реЗрдпрд░ рдореЗрдВ рдЪреБрдиреЗ рдЧрдП рдкреНрд░рддреНрдпреЗрдХ рдкреНрд░рдХрд╛рд░ рдХреЗ рдорд╛рдЗрдХреНрд░реЛрдХреНрд░рд┐рдЪрд┐рдЯ рдХреЗ рд▓рд┐рдП, рдЙрд╕ рдорд╛рдЗрдХреНрд░реЛрдХреНрд░рд┐рд╕реНрдХрд┐рдЯ рдХреЗ рд▓рд┐рдП рдЙрдкрд▓рдмреНрдз рдЖрджреЗрд╢реЛрдВ рдХреЗ рд╕рд╛рде рдПрдХ рд╕рдВрдмрдВрдзрд┐рдд рдореЗрдиреВ рдкреНрд░рджрд░реНрд╢рд┐рдд рдХрд┐рдпрд╛ рдЬрд╛рдирд╛ рдЪрд╛рд╣рд┐рдП (рдкрдврд╝реЗрдВ, рд▓рд┐рдЦреЗрдВ, рд╕рдлрд╛рдИ рдХреЗ рд▓рд┐рдП рдЬрд╛рдВрдЪ, рддреБрд▓рдирд╛, рдЖрджрд┐)ред
  6. рдЬрдм рдЖрдк microcircuit рдХреЗ рд╕рд╛рде рдХрд╛рдо рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рдПрдХ рдореЗрдиреВ рдЖрдЗрдЯрдо рдХрд╛ рдЪрдпрди рдХрд░рддреЗ рд╣реИрдВ, рддреЛ рд╕рдВрдмрдВрдзрд┐рдд рдХрдорд╛рдВрдб рдкреНрд░реЛрдЧреНрд░рд╛рдорд░ рдХреЛ рднреЗрдЬ рджрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИ, рдЬрд┐рд╕рдХреЗ рдмрд╛рдж рдкреНрд░реЛрдЧреНрд░рд╛рдорд░ рдкрд╣рд▓реЗ рдорд╛рдЗрдХреНрд░реЛрдХреНрд░реЗрдХрд┐рдЯ рдХреЗ рдкреИрд░реЛрдВ рдХреЗ рд╕рд╛рде рд╕реЙрдХреЗрдЯ рд╕рдВрдкрд░реНрдХреЛрдВ рдХреЗ рд╡рд┐рджреНрдпреБрдд рд╕рдВрдкрд░реНрдХ рдХреА рдЬрд╛рдВрдЪ рдХрд░рддрд╛ рд╣реИ, рдФрд░ рдлрд┐рд░, рдпрджрд┐ рд╕рдлрд▓ рд╣реЛрддрд╛ рд╣реИ, рддреЛ рдЗрд╕ рдХрдорд╛рдВрдб рдХреЛ рдирд┐рд╖реНрдкрд╛рджрд┐рдд рдХрд░рддрд╛ рд╣реИред

рд▓реЗрдЦ рд╕реЗ рдЬреБрдбрд╝реЗ рд╕реНрд░реЛрдд рдХреЛрдб рдореЗрдВ, рдХрд╛рд░реНрдп рдХреЛ рд╕рд░рд▓ рдмрдирд╛рдиреЗ рдХреЗ рд▓рд┐рдП, рджреВрд╕рд░реЗ рд╕реЗ рдкрд╛рдВрдЪрд╡реЗрдВ, рд╕рдорд╛рд╡реЗрд╢реА рддрдХ рдХреЗ рдмрд┐рдВрджреБрдУрдВ рдХреЛ рд▓рд╛рдЧреВ рдирд╣реАрдВ рдХрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИред

рдкрд░рд┐рдгрд╛рдо


рд╣рдореЗрдВ рдореВрд▓ рд╕реЙрдлреНрдЯрд╡реЗрдпрд░ рдореЗрдВ рд╕реЙрдлреНрдЯрд╡реЗрдпрд░ рдореЙрдбреНрдпреВрд▓ рдХреЛ рдПрдХреАрдХреГрдд рдХрд░рдиреЗ рдХреЗ рдХрд╛рд░реНрдп рдХрд╛ рд╕рд╛рдордирд╛ рдирд╣реАрдВ рдХрд░рдирд╛ рдкрдбрд╝рд╛,
рдЗрд╕рд▓рд┐рдП, рдЗрд╕ рдЖрд▓реЗрдЦ рдореЗрдВ рд╡рд░реНрдгрд┐рдд рд╕рд╛рдордЧреНрд░реА рдкреВрд░реНрдг рд╕рдорд╛рдзрд╛рди рд╣реЛрдиреЗ рдХрд╛ рджрд╛рд╡рд╛ рдирд╣реАрдВ рдХрд░рддреА рд╣реИред
рд╣рдо рдЖрд╢рд╛ рдХрд░рддреЗ рд╣реИрдВ рдХрд┐ рдпрд╣рд╛рдВ рдкреНрд░рд╕реНрддреБрдд рдЬрд╛рдирдХрд╛рд░реА рдкрд╛рдардХреЛрдВ рдХреА рдПрдХ рдирд┐рд╢реНрдЪрд┐рдд рд╢реНрд░реЗрдгреА рдХреЗ рд▓рд┐рдП рдЙрдкрдпреЛрдЧреА рд╣реЛрдЧреА, рдФрд░ рд╣рдорд╛рд░реА рд╕рд░реНрд╡реЛрддреНрддрдо рдХреНрд╖рдорддрд╛ рдФрд░ рдЦрд╛рд▓реА рд╕рдордп рдХреА рдЙрдкрд▓рдмреНрдзрддрд╛ рдХреЗ рд▓рд┐рдП, рд╣рдо рдЖрдкрдХреЗ рдкреНрд░рд╢реНрдиреЛрдВ рдХрд╛ рдЙрддреНрддрд░ рджреЗрдиреЗ рдХрд╛ рдкреНрд░рдпрд╛рд╕ рдХрд░реЗрдВрдЧреЗред

рдЖрдкрдХреА рд░реБрдЪрд┐ рдХреЗ рд▓рд┐рдП рдзрдиреНрдпрд╡рд╛рдж!

рд╕рд╛рдзрди


1ред PDF - mDOC H3 рдПрдВрдмреЗрдбреЗрдб рдлреНрд▓реИрд╢ рдбреНрд░рд╛рдЗрд╡ (EFD) рдПрдВрдмреЗрдбреЗрдб рдЯреНрд░реВрдлрд▓реНрд╕ рдлреНрд▓реИрд╢ рдореИрдиреЗрдЬрдореЗрдВрдЯ рд╕реЙрдлреНрдЯрд╡реЗрдпрд░ рдХреА рд╡рд┐рд╢реЗрд╖рддрд╛ рд╣реИ
2ред PDF - рдкреНрд░реЛрдЧреНрд░рд╛рдорд┐рдВрдЧ mDOC H3 рдлреНрд▓реИрд╢ рдореЗрдореЛрд░реАрдЬрд╝, рдбреЗрдЯрд╛рдореИрди рдбрд┐рд╡рд╛рдЗрд╕ рдкреНрд░реЛрдЧреНрд░рд╛рдорд░реНрд╕ рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░рдирд╛
3ред PDF - Software_Utilities_TrueFFS_7.1
4ред рдбреЗрдЯрд╛рдореИрди рдХрдВрдЯреНрд░реЛрд▓ рд╕реЙрдлреНрдЯрд╡реЗрдпрд░ - PG4UW
5ред рд▓рд┐рдирдХреНрд╕ рдХреЗ рд▓рд┐рдП mDOC H3 рдбреНрд░рд╛рдЗрд╡рд░ рдХрд╛ рдХрд╛рд░реНрдпрд╛рдиреНрд╡рдпрди (рдкреНрд░рджрд░реНрд╢рди рдкрд░реАрдХреНрд╖рдг рдирд╣реАрдВ рдХрд┐рдпрд╛ рдЧрдпрд╛ рдерд╛)
6ред рд╣реЛрд╕реНрдЯ рдкреНрд░реЛрдЧреНрд░рд╛рдорд░ рд╕реНрд░реЛрдд рдлрд╝рд╛рдЗрд▓реЗрдВ (Visual Studio 2017)ред
7ред рд╕реЙрдлреНрдЯрд╡реЗрдпрд░ рдореЙрдбреНрдпреВрд▓ рдХреА рд╕реНрд░реЛрдд рдлрд╛рдЗрд▓реЗрдВ (рдПрдЖрд░рдПрдо v8.30.1 рдХреЗ рд▓рд┐рдП рдЖрдИрдПрдЖрд░ рдПрдВрдмреЗрдбреЗрдб рд╡рд░реНрдХрдмреЗрдВрдЪ)ред
8ред FPGA XILINX XC2S50E рдХреЗ рд▓рд┐рдП рд╕реНрд░реЛрдд рдлрд╝рд╛рдЗрд▓реЗрдВ (XILINX ISE 10.1)ред

Source: https://habr.com/ru/post/hi430634/


All Articles