FPGA рдмреЛрд░реНрдб рдкрд░ рд╕рдВрдЧреАрдд рдмреЙрдХреНрд╕ рдФрд░ рд░реЛрдЯрд░реА рдПрдирдХреЛрдбрд░

рдкрд░рд┐рдЪрдп


рд╣рдо рдЗрдиреНрдиреЛрдкреЛрд▓рд┐рд╕ рдпреВрдирд┐рд╡рд░реНрд╕рд┐рдЯреА рдореЗрдВ рдХрдВрдкреНрдпреВрдЯрд░ рд╕рд╛рдЗрдВрд╕ рдХреА рдкрдврд╝рд╛рдИ рдХрд░ рд░рд╣реЗ рдкреНрд░рдердо рд╡рд░реНрд╖ рдХреЗ рдЫрд╛рддреНрд░ рд╣реИрдВ рдФрд░ рд╣рдо рдПрдХ FPGA рдмреЛрд░реНрдб рдореЗрдВ рд╕рдмрд╕реЗ рдЕрдЪреНрдЫреЗ (рдХрдо рд╕реЗ рдХрдо, рдХрдо рд╕реЗ рдХрдо, рд╕рдмрд╕реЗ рдЬреЛрд░ рд╕реЗ) рд░реЛрдЯрд░реА рдПрдирдХреЛрдбрд░ рдмрдирд╛рдиреЗ рдХреЗ рд▓рд┐рдП рдПрдХ рд╡реЗрд░рд┐рд▓реЛрдЧ рдХрд╛рд░реНрдпрдХреНрд░рдо рд╡рд┐рдХрд╕рд┐рдд рдХрд░рдиреЗ рдореЗрдВ рдЕрдкрдирд╛ рдЕрдиреБрднрд╡ рд╕рд╛рдЭрд╛ рдХрд░рдирд╛ рдЪрд╛рд╣рддреЗ рд╣реИрдВред

рдЗрд╕ рд▓реЗрдЦ рдореЗрдВ, рдЖрдк рд╣рдорд╛рд░реЗ рдкреНрд░реЛрдЬреЗрдХреНрдЯ, рд╣рд╛рд░реНрдбрд╡реЗрдпрд░, рд╕реЙрдлреНрдЯрд╡реЗрдпрд░ рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░рддреЗ рд╣реИрдВ рдФрд░ рд░реЛрдЯрд░реА рдПрдирдХреЛрдбрд░ рдХреЗ рдмрд╛рд░реЗ рдореЗрдВ рдХреБрдЫ рдкреГрд╖реНрдарднреВрдорд┐ рд╕рд┐рджреНрдзрд╛рдВрдд рдФрд░ FPGA рдХреЗ рдмрдЬрд░ рдореЗрдВ рдзреНрд╡рдирд┐рдпреЛрдВ рдХреЗ рдирд┐рд░реНрдорд╛рдг рдХреЗ рдмрд╛рд░реЗ рдореЗрдВ рдПрдХ рдЕрджреНрднреБрдд рдХрд╣рд╛рдиреА рдкрд╛рдПрдВрдЧреЗред рдЕрдВрдд рдореЗрдВ, рд╣рдо рдПрдХ рдЬреАрдердм рд░рд┐рдкреЙрдЬрд┐рдЯрд░реА рдХрд╛ рд▓рд┐рдВрдХ рдкреНрд░рджрд╛рди рдХрд░реЗрдВрдЧреЗ рдЬрд╣рд╛рдВ рдПрдХ рдкрд╛рдардХ рд╕реНрд░реЛрдд рдХреЛрдб рддрдХ рдкрд╣реБрдВрдЪ рд╕рдХрддрд╛ рд╣реИред рд╣рдореЗрдВ рдЙрдореНрдореАрдж рд╣реИ рдХрд┐ рдЖрдк рдЗрд╕ рдкрд░рд┐рдпреЛрдЬрдирд╛ рдХреЛ рдкрд╕рдВрдж рдХрд░реЗрдВрдЧреЗ рдФрд░ рдпрд╣ рдЖрдкрдХреЛ рдХреБрдЫ рд╕рдорд╛рди рдмрдирд╛рдиреЗ рдХреЗ рд▓рд┐рдП рдкреНрд░реЗрд░рд┐рдд рдХрд░реЗрдЧреАред
рддреЛ, рдЪрд▓рд┐рдП рд╢реБрд░реВ рдХрд░рддреЗ рд╣реИрдВ!

рд╣рд╛рд░реНрдбрд╡реЗрдпрд░ рдФрд░ рд╕реЙрдлреНрдЯрд╡реЗрдпрд░



рдЫрд╡рд┐

  • рдХреНрд╡рд╛рд░реНрдЯреНрдЬ рдкреНрд░рд╛рдЗрдо рдХреЗ рд▓рд┐рдП рдЪрдХреНрд░рд╡рд╛рдд IV FPGA рдбрд┐рд╡рд╛рдЗрд╕ рдХрд╛ рд╕рдорд░реНрдерди

  • рдХреНрд╡рд╛рд░реНрдЯрд╕ рдкреНрд░рд╛рдЗрдо рд▓рд╛рдЗрдЯ рд╕рдВрд╕реНрдХрд░рдг

  • Arduino рдХрд┐рдЯ рд╕реЗ рдПрдХ рд░реЛрдЯрд░реА рдПрдирдХреЛрдбрд░

  • ModelSim * -Intel FPGA (рдХреЗрд╡рд▓ рдбрд┐рдмрдЧрд┐рдВрдЧ рдХреЗ рд▓рд┐рдП)

  • Arduino рдХрд┐рдЯ рд╕реЗ рддрд╛рд░

рдПрдХ рд╕рдВрдХреНрд╖рд┐рдкреНрдд рдХрд╣рд╛рдиреА


рдмрд╣реБрдд рд╕рдордп рдкрд╣рд▓реЗ рдПрдХ рдЖрдХрд╛рд╢рдЧрдВрдЧрд╛ рдореЗрдВ рджреВрд░ рдЫрд╛рддреНрд░реЛрдВ рдХреЗ рдПрдХ рд╕рдореВрд╣ (рд╣рдо) рдиреЗ рдПрдХ рд╣рд╛рд░реНрдбрд╡реЗрдпрд░ рдХреЗ рдХрд░реАрдм рдЬрд╛рдиреЗ рдХрд╛ рдлреИрд╕рд▓рд╛ рдХрд┐рдпрд╛ред рдХрдВрдкреНрдпреВрдЯрд░ рдЖрд░реНрдХрд┐рдЯреЗрдХреНрдЪрд░ рдкрд╛рдареНрдпрдХреНрд░рдо рдиреЗ рд╣рдореЗрдВ FPGA рдмреЛрд░реНрдбреЛрдВ рдкрд░ рдПрдХ рдкрд░рд┐рдпреЛрдЬрдирд╛ рдмрдирд╛рдиреЗ рдХрд╛ рдПрдХ рд╢рд╛рдирджрд╛рд░ рдЕрд╡рд╕рд░ рджрд┐рдпрд╛ред рдХреБрдЫ рдЪрд░реНрдЪрд╛рдУрдВ рдХреЗ рдмрд╛рдж, рд╣рдордиреЗ рд░реЛрдЯрд░реА рдПрдирдХреЛрдбрд░ рдХреЗ рд╕рд╛рде рдПрдХ рдкреНрд░рдгрд╛рд▓реА рдХреЛ рд▓рд╛рдЧреВ рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рдЪреБрдирд╛ред рд╣рдордиреЗ рдХрд╛рд░реНрдп рдХреЛ рдкреВрд░рд╛ рдХрд┐рдпрд╛ рд▓реЗрдХрд┐рди рдпрд╣ рд╣рдорд╛рд░реА рдЗрдЪреНрдЫрд╛рдУрдВ рдХреЛ рдкреВрд░рд╛ рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рдкрд░реНрдпрд╛рдкреНрдд рдирд╣реАрдВ рдерд╛, рдЗрд╕рд▓рд┐рдП рд╣рдордиреЗ рдЕрд▓рдЧ-рдЕрд▓рдЧ рдиреЛрдЯреЛрдВ рдХреЛ рдЪрд▓рд╛рдиреЗ рдХреЗ рд▓рд┐рдП рдПрдХ рдХреАрдмреЛрд░реНрдб рдФрд░ рдмреЛрд░реНрдб рдХреЗ рдмрдЬрд░ рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░рдХреЗ рдЗрд╕реЗ рдПрдХ рд╕рдВрдЧреАрдд рд╡рд╛рджреНрдпрдпрдВрддреНрд░ рдореЗрдВ рдмрджрд▓рдХрд░ рд╣рдорд╛рд░реА рдкрд░рд┐рдпреЛрдЬрдирд╛ рдХреЛ рдЕрдкрдЧреНрд░реЗрдб рдХрд░рдиреЗ рдХрд╛ рдирд┐рд░реНрдгрдп рд▓рд┐рдпрд╛ред рд╣рд╛рд▓рд╛рдБрдХрд┐, рдпрд╣ рдкрддрд╛ рдЪрд▓рд╛ рдХрд┐ FPGA рдХрд╛ PS / 2 рдкреЛрд░реНрдЯ рдореГрдд рд╣реИред

рдЖрдЦрд┐рд░рдХрд╛рд░, рд╣рдо рдПрдХ рд╕рд╛рдзрд╛рд░рдг рд░рд╛рдЧ рд▓рд┐рдЦрдиреЗ рдХреЗ рд╕рд╛рде рд╕рдорд╛рдкреНрдд рд╣реЛрддреЗ рд╣реИрдВ рдЬреЛ рдмрдЯрди рджрдмрд╛рдП рдЬрд╛рдиреЗ рдкрд░ рдЦреЗрд▓рд╛ рдЬрд╛рддрд╛ рд╣реИред

рдореНрдпреВрдЬрд┐рдХрд▓ рдмреЙрдХреНрд╕


рд╣рдо рдЙрдирдХреА рдзреНрд╡рдирд┐ рддрд░рдВрдЧреЛрдВ рдХреА рдЖрд╡реГрддреНрддрд┐рдпреЛрдВ рджреНрд╡рд╛рд░рд╛ рдПрдХ рдиреЛрдЯ рдХреЛ рджреВрд╕рд░реЗ рд╕реЗ рдЕрд▓рдЧ рдХрд░ рд╕рдХрддреЗ рд╣реИрдВред рдЗрд╕рд▓рд┐рдП, рдмрдЬрд░ рдмрдЬрд╛рдиреЗ рдХреЗ рд▓рд┐рдП рдЕрд▓рдЧ-рдЕрд▓рдЧ рдиреЛрдЯреНрд╕ рдмрдирд╛рдиреЗ рдХреЗ рд▓рд┐рдП рд╣рдореЗрдВ рд╡рд┐рднрд┐рдиреНрди рдЖрд╡реГрддреНрддрд┐рдпреЛрдВ рдХреЗ рд╕рд╛рде рдзреНрд╡рдирд┐ рддрд░рдВрдЧреЛрдВ рдХреЛ рдЙрддреНрдкрдиреНрди рдХрд░рдиреЗ рдХреА рдЖрд╡рд╢реНрдпрдХрддрд╛ рд╣реЛрддреА рд╣реИред

рд╣рдордиреЗ рд╡рд░реНрдЧ рддрд░рдВрдЧреЛрдВ рдХреЗ рд╕рд╛рде рдХрд╛рдо рдХрд░рдиреЗ рдХрд╛ рдлреИрд╕рд▓рд╛ рдХрд┐рдпрд╛ рдХреНрдпреЛрдВрдХрд┐ рд╡реЗ рд▓рд╛рдЧреВ рдХрд░рдиреЗ рдореЗрдВ рдмрд╣реБрдд рдЖрд╕рд╛рди рд╣реИрдВред

рдПрдХ рд╡рд░реНрдЧ рддрд░рдВрдЧ рдПрдХ рд╕рдВрдХреЗрдд рд╣реИ рдЬреЛ рдЙрдЪреНрдЪ рдФрд░ рдирд┐рдореНрди рдХреЗ рдмреАрдЪ рдЕрдкрдиреА рд╕реНрдерд┐рддрд┐ рдХреЛ рдмрджрд▓рддрд╛ рд╣реИред рдПрдХ рд╡рд░реНрдЧ рддрд░рдВрдЧ рдХрд╛ рдореБрдЦреНрдп рд╡рд┐рдЪрд╛рд░ рдпрд╣ рд╣реИ рдХрд┐ рдЬрдм рдЙрдЪреНрдЪ рд░рд╛рдЬреНрдп рдореЗрдВ рд╕рдВрдХреЗрдд рдФрд░ рдЙрд╕ рд╕рдордп рдЬрдм рдирд┐рдореНрди рдЕрд╡рд╕реНрдерд╛ рдореЗрдВ рд╕рдВрдХреЗрдд рд╕рдорд╛рди рд╣реЛрддреЗ рд╣реИрдВред рдПрдХ рд╡рд░реНрдЧ рддрд░рдВрдЧ рдЙрддреНрдкрдиреНрди рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рдЖрдкрдХреЛ I / O рдЪрд╛рд▓реВ рдХрд░рдирд╛ рд╣реЛрдЧрд╛, x рд░рд╛рд╢рд┐ рдХреА рдкреНрд░рддреАрдХреНрд╖рд╛ рдХрд░реЗрдВ, I / O рдХреЛ рдмрдВрдж рдХрд░реЗрдВ, x рд░рд╛рд╢рд┐ рд╕реЗ рдкреНрд░рддреАрдХреНрд╖рд╛ рдХрд░реЗрдВ, рдФрд░ рдЙрди рдХреНрд░рд┐рдпрд╛рдУрдВ рдХреЛ рдЬрд┐рддрдиреА рдмрд╛рд░ рдЖрд╡рд╢реНрдпрдХ рд╣реЛ, рджреЛрд╣рд░рд╛рдПрдВред

рдЫрд╡рд┐

рдпрд╣ рддрд╕реНрд╡реАрд░ рдпрд╣рд╛рдВ рд╕реЗ рд▓реА рдЧрдИ рдереАред

рдЪрдХреНрд░рд╡рд╛рдд IV рдореЗрдВ рдПрдХ рдШрдбрд╝реА рдЬрдирд░реЗрдЯрд░ рд╣реИ рдЬрд┐рд╕рдореЗрдВ рдЖрд╡реГрддреНрддрд┐ 50 рдореЗрдЧрд╛рд╣рд░реНрдЯреНрдЬ рд╣реИред рд╡рд░реНрдЧ рддрд░рдВрдЧ рдХреА рдкрд░рд┐рднрд╛рд╖рд╛ рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░рддреЗ рд╣реБрдП, рдирд┐рдореНрди рд╕реВрддреНрд░ рдХреЛ рдкреНрд░рд╛рдкреНрдд рдХрд░рдирд╛ рдХрдард┐рди рдирд╣реАрдВ рд╣реИ:

x = (50 * 10 ^ 6) / (2 * n )

рдЬрд╣рд╛рдВ n рд╣рдЬрд╝ рдФрд░ x рдореЗрдВ рд╡рд╛рдВрдЫрд┐рдд рдЖрд╡реГрддреНрддрд┐ рд╣реИ, рдХрдИ рдХреНрд▓реЙрдХ рдЪрдХреНрд░ рд╣реИрдВ рдЬрд┐рдиреНрд╣реЗрдВ рд╣рдореЗрдВ рд░рд╛рдЬреНрдп рдХреЛ рдЙрдЪреНрдЪ рд╕реЗ рдирд┐рдореНрди рдФрд░ рдЗрд╕рдХреЗ рд╡рд┐рдкрд░реАрдд рдмрджрд▓рдиреЗ рд╕реЗ рдкрд╣рд▓реЗ рдкреНрд░рддреАрдХреНрд╖рд╛ рдХрд░рдиреЗ рдХреА рдЖрд╡рд╢реНрдпрдХрддрд╛ рд╣реИред

рдмрдЬрд░ рдмрдирд╛рдиреЗ рдХреЗ рд▓рд┐рдП рдЖрд╡реГрддреНрддрд┐ n рд╣рд░реНрдЯреНрдЬ рдХреЗ рд╕рд╛рде рддрд░рдВрдЧреЗрдВ рдЙрддреНрдкрдиреНрди рд╣реЛрддреА рд╣реИрдВ рдЬрд┐рд╕реЗ рд╣рдордиреЗ рдПрдХ рдШрдбрд╝реА рд╡рд┐рднрдХреНрдд рд▓рд╛рдЧреВ рдХрд┐рдпрд╛ рд╣реИред
рдШрдбрд╝реА рд╡рд┐рднрдХреНрдд рдФрд░ рд╡рд░реНрдЧ рддрд░рдВрдЧреЛрдВ рдХреЗ рдмрд╛рд░реЗ рдореЗрдВ рдЕрдзрд┐рдХ рдЖрдк рдпрд╣рд╛рдВ рдкрдврд╝ рд╕рдХрддреЗ рд╣реИрдВред

рдПрдХ рдФрд░ рд▓реЗрдХрд┐рди :
рдЧреБрд▓рдЬрд╛рд░ рдХреА рдирд┐рдореНрди рдЧреБрдгрд╡рддреНрддрд╛ рдХреЗ рдХрд╛рд░рдг рдХрдо рдЖрд╡реГрддреНрддрд┐рдпреЛрдВ рдХреЗ рд╕рд╛рде рдиреЛрдЯ рдЪрд▓рд╛рдирд╛ рд╕рдВрднрд╡ рдирд╣реАрдВ рд╣реИред рддреЛ, рд╣рдордиреЗ 4 рд╕рдкреНрддрдХ рдХреЗ F # рд╕реЗ 5 рд╡реЗрдВ рд╕рдкреНрддрдХ рдХреЗ C # рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд┐рдпрд╛ред

рдпрд╣ рдПрдХ рдлрд╝реНрд▓реЛрдЪрд╛рд░реНрдЯ рд╣реИ рдЬреЛ рд╕рдВрдЧреАрдд рдмреЙрдХреНрд╕ рдХрд╛ рдПрдХ рддрд░реНрдХ рджрд┐рдЦрд╛рддрд╛ рд╣реИред

рдЫрд╡рд┐

рд░реЛрдЯрд░реА рдПрдирдХреЛрдбрд░


рд░реЛрдЯрд░реА рдПрдирдХреЛрдбрд░ рдХреИрд╕реЗ рдХрд╛рдо рдХрд░рддрд╛ рд╣реИ?

рдпрд╣ рдХрдВрдбрдХреНрдЯрд░ рдкреНрд▓реЗрдЯ рдФрд░ рджреЛ рдПрдирд╛рд▓реЙрдЧ рд╕реЗрдВрд╕рд░ рдХреЗ рд╕рд╛рде рдПрдХ рд╕рд░реНрдХрд▓ рд╕реЗ рдмрдирд╛ рдПрдХ рдЪреАрдЬ рд╣реИред

рдЬреИрд╕рд╛ рдХрд┐ рдЖрдк рдПрдХ рдЪрд┐рддреНрд░ рд╕реЗ рджреЗрдЦ рд╕рдХрддреЗ рд╣реИрдВ рдЬрдм рдЖрдк рд░реЛрдЯрд░реА рдПрдирдХреЛрдбрд░ рдХреЛ рдЪрд╛рд▓реВ рдХрд░рддреЗ рд╣реИрдВ, рд╕реЗрдВрд╕рд░ рдореЗрдВ рд╕реЗ рдПрдХ рдкрд╣рд▓реЗ рдкреНрд▓реЗрдЯ рдХреЛ рдЫреВрддрд╛ рд╣реИ рдФрд░ рдХрдо рд╕реЗ рдЙрдЪреНрдЪ рддрдХ рдЬрд╛рддрд╛ рд╣реИред рддреЛ, рдЙрд╕ рд╕рдордп рд╣рдо рдЬрд╛рди рд╕рдХрддреЗ рд╣реИрдВ, рдХрд┐ рдХреНрдпрд╛ рд╣рдо рдПрдирдХреЛрдбрд░ рдХреЛ рджрдХреНрд╖рд┐рдгрд╛рд╡рд░реНрдд рдпрд╛ рд╡рд╛рдорд╛рд╡рд░реНрдд рдореЛрдбрд╝ рд░рд╣реЗ рд╣реИрдВред рд╡рд┐рдЪрд╛рд░ рдирд┐рдореНрдирд▓рд┐рдЦрд┐рдд рд╣реИ: рд╣рдо рд╣рд░ рдмрд╛рд░ рд╕реЗрдВрд╕рд░ рдмреА рдХреЛ рджреЗрдЦреЗрдВрдЧреЗ рдЬрдм рд╕реЗрдВрд╕рд░ рдП рдХрдо рд╕реЗ рдЙрдЪреНрдЪ рддрдХ рдЬрд╛рддрд╛ рд╣реИред рдпрджрд┐ рдЙрд╕ рдХреНрд╖рдг B рдкрд░ рдЬреЛрд░ рджрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИ, рддреЛ рдПрдирдХреЛрдбрд░ рдХреЛ рджрдХреНрд╖рд┐рдгрд╛рд╡рд░реНрдд рдШреБрдорд╛ рджрд┐рдпрд╛ рдЧрдпрд╛ рдерд╛, рдЕрдиреНрдпрдерд╛, рд╡рд╛рдорд╛рд╡рд░реНрддред рдЕрдм, рд░реЛрдЯреЗрд╢рди рд╢рдмреНрдж рд╕реЗ, рд╣рдорд╛рд░рд╛ рдорддрд▓рдм рд╣реИ рдХрд┐ рдПрдХ рдЦрдВрдб рдкрд░ рдПрдирдХреЛрдбрд░ рдХреЛ рдмрджрд▓рдирд╛ред

рдЫрд╡рд┐

(рддрд╕реНрд╡реАрд░ howtomechatronics.com рд╕реЗ рдЪреБрд░рд╛рдИ рдЧрдИ рдереА )

рдпрд╣ рдПрдХ рдлреНрд▓реЛрдЪрд╛рд░реНрдЯ рд╣реИ рдЬреЛ рд░реЛрдЯрд░реА рдПрдирдХреЛрдбрд░ рдХреЗ рдПрдХ рддрд░реНрдХ рдХреЛ рджрд░реНрд╢рд╛рддрд╛ рд╣реИ

рдЫрд╡рд┐

рдЗрд╕рдХреЗ рдЕрд▓рд╛рд╡рд╛, рд╣рдо 8-рдЦрдВрдб рдкреНрд░рджрд░реНрд╢рди рдкрд░ рд░реЛрдЯреЗрд╢рди рдХреЗ рдХреЛрдг рдХреЛ рдЖрдЙрдЯрдкреБрдЯ рдХрд░рдирд╛ рдЪрд╛рд╣рддреЗ рд╣реИрдВред рд╣рдорд╛рд░реЗ рдПрдирдХреЛрдбрд░ рдореЗрдВ 20 рд╕реЗрдЧрдореЗрдВрдЯ рд╣реЛрддреЗ рд╣реИрдВ, рдЗрд╕рд▓рд┐рдП рдПрдХ рд╕реЗрдЧрдореЗрдВрдЯ рдкрд░ рд░реЛрдЯреЗрд╢рди 18 рдХреЗ рдХреЛрдг рдкрд░ рдПрдХ рд░реЛрдЯреЗрд╢рди рдХреЗ рдмрд░рд╛рдмрд░ рд╣реЛрддрд╛ рд╣реИ рдФрд░ рд╣рдорд╛рд░реЗ рджреНрд╡рд╛рд░рд╛ рдЙрдкрдпреЛрдЧ рдХрд┐рдпрд╛ рдЬрд╛рдиреЗ рд╡рд╛рд▓рд╛ рд╕реВрддреНрд░ рд╣реИ:

рдХреЛрдг = ( рдШреВрд░реНрдгрди рдореЙрдб 20 рдХреА рд╕рдВрдЦреНрдпрд╛ ) * 18

рд╕рд╛рдордЧреНрд░реА


рд╣рдорд╛рд░реЗ рдкреНрд░реЛрдЬреЗрдХреНрдЯ рдХрд╛ рдХреЛрдб рдпрд╣рд╛рдБ рд╣реИ ред

рдпрд╣рд╛рдВ рдФрд░ рдпрд╣рд╛рдВ рд░реЛрдЯрд░реА рдПрдирдХреЛрдбрд░ рдФрд░ рд╕рдВрдЧреАрдд рдмреЙрдХреНрд╕ рдХреЗ рд╡реАрдбрд┐рдпреЛ рд╣реИрдВред

рд▓реЗрдЦрдХ : рдЕрдореАрдирд╛ рдорд┐рдлреНрддрд╛рд╣реЛрд╡рд╛, рдХрд╛рдорд┐рд▓ рдХрдорд▓реАрд╡, рд▓реЗрд╡ рд╕реНрд╡рд╛рд▓реЛрд╡ред

Source: https://habr.com/ru/post/hi431612/


All Articles