рдПрдХ рд╕рд░рд▓ рдЯрд░реНрдирд░реА рдкреНрд░рдгрд╛рд▓реА рдХрд╛ рдХрд╛рд░реНрдпрд╛рдиреНрд╡рдпрди

рддреАрди-рдореВрд▓реНрдпрд╡рд╛рди рддрд░реНрдХ


рдЙрдкрд▓рдмреНрдзрд┐рдпреЛрдВ рдХреА рд╕реВрдЪреА


  • рдмреЗрд╕рд┐рдХ рдЯрд░реНрдирд░реА рд▓реЙрдЬрд┐рдХ рдЧреЗрдЯреНрд╕: T_NOT, T_OR, T_AND, T_NAND, T_NOR, T_XX рдФрд░ рднреА рдмрд╣реБрдд рдХреБрдЫ
  • рд╕рдВрд╢реНрд▓реЗрд╖рдг, рдиреНрдпреВрдирддрдо рдФрд░ рдЯрд░реНрдирд░реА рдХрд╛рд░реНрдпреЛрдВ рдХреЗ рд▓рд┐рдП рдмреЛрдз
  • рдЯреЗрдирд░реА рд╣рд╛рдл рдпреЛрдЬрдХ, рдЯреЗрд░рдиреЗрд░реА рдкреВрд░реНрдг рдпреЛрдЬрдХ, рдЯреЗрд░рдиреЗрд░реА рд░рд┐рдкрд▓ рдпреЛрдЬрдХ рдпреЛрдЬрдХ
  • рдЯрд░реНрдиреЗрд░реА рдлреБрд▓ рд╕рдмрдЯреНрд░реИрдХреНрдЯрд░, рддреБрд▓рдирд┐рддреНрд░, рдорд▓реНрдЯреАрдкреНрд▓рд╛рдпрд░, рдорд▓реНрдЯреАрдкреНрд▓реЗрдХреНрд╕рд░ / рдбреЗрдореНрдпреВрдЯрд┐рдкреНрд▓реЗрдХреНрд╕рд░
  • рдЯрд░реНрдирд░реА рдлреНрд▓рд┐рдк рдлреНрд▓реИрдк рдлреНрд▓реЙрдк рдФрд░ рд▓реИрдЪреЗрд╕
  • рдПрдХ рдЖрджрд┐рдо рдЯреЗрд░рдиреЗрд░реА рдЕрдВрдХрдЧрдгрд┐рдд рдФрд░ рддрд╛рд░реНрдХрд┐рдХ рдЗрдХрд╛рдИ (ALU)

рдЕрднрд┐рд╕рдордп рдФрд░ рдкреНрд░рдпреБрдХреНрдд рдкреНрд░реМрджреНрдпреЛрдЧрд┐рдХрд┐рдпрд╛рдБ


  • рдХрд╛рд░реНрдпрд╛рдиреНрд╡рдпрди рдореЗрдВ рдЕрд╕рдВрддреБрд▓рд┐рдд рдЯрд░реНрдирд░реА (0, 1, 2) рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд┐рдпрд╛ рдЧрдпрд╛ рдерд╛
  • 1 рдЯреНрд░рд┐рдЯ 2 рдмрд┐рдЯреНрд╕ рджреНрд╡рд╛рд░рд╛ рд╡реНрдпрдХреНрдд рдХреА рдЬрд╛рддреА рд╣реИ: 0 ~ 00, 1 ~ 01, 2 ~ 10 (11 рдЕрдкрд░рд┐рднрд╛рд╖рд┐рдд рд╣реИ)
  • рдореЙрдбрд▓рд╕рд┐рдо, рдХреНрд╡рд╛рд░реНрдЯрд╕ рдкреНрд░рд╛рдЗрдо, рд▓реЙрдЬрд┐рд╕рд┐рдо

рдкрд░рд┐рдЪрдп


рдЗрдиреЛрдкреЛрд▓рд┐рд╕ рд╡рд┐рд╢реНрд╡рд╡рд┐рджреНрдпрд╛рд▓рдп рдХреЗ рдкреНрд░рдердо рд╡рд░реНрд╖ рдХреЗ рдЫрд╛рддреНрд░реЛрдВ рдХреЗ рд░реВрдк рдореЗрдВ, рд╣рдореЗрдВ рдЕрдкрдиреЗ рдХрдВрдкреНрдпреВрдЯрд░ рдЖрд░реНрдХрд┐рдЯреЗрдХреНрдЪрд░ рдкрд╛рдареНрдпрдХреНрд░рдо рдХреЗ рджреМрд░рд╛рди рдкрд░рд┐рдпреЛрдЬрдирд╛рдУрдВ рдХреЛ рдорд╣рд╕реВрд╕ рдХрд░рдиреЗ рдХрд╛ рдЕрд╡рд╕рд░ рдорд┐рд▓рд╛ред рд╣рдорд╛рд░рд╛ рд╕рдореВрд╣ рд╡рд┐рд╢реЗрд╖ рд░реВрдк рд╕реЗ рдЯрд░реНрдирд░реА рдкреНрд░рдгрд╛рд▓реА рдФрд░ рдЗрд╕рдХреЗ рдХрд╛рдордХрд╛рдЬ рдореЗрдВ рд░реБрдЪрд┐ рд░рдЦрддрд╛ рдерд╛, рдЗрд╕рд▓рд┐рдП рд╣рдордиреЗ рдмреБрдирд┐рдпрд╛рджреА рдШрдЯрдХреЛрдВ (рдЧреЗрдЯреНрд╕) рдХреЗ рд╕рд╛рде рдПрдХ рд╕рд╛рдзрд╛рд░рдг рдЯрд░реНрдирд░реА рдкреНрд░рдгрд╛рд▓реА рдХреЛ рд▓рд╛рдЧреВ рдХрд░рдиреЗ рдХрд╛ рдирд┐рд░реНрдгрдп рд▓рд┐рдпрд╛ред


рддрд░реНрдХ рдореЗрдВ, рдПрдХ рддреАрди-рдореВрд▓реНрдпрд╡рд╛рди рддрд░реНрдХ (рдЯреНрд░рд╛рдЗрдПрдХреНрдЯрд┐рд╡ рд▓реЙрдЬрд┐рдХ, рдЯреНрд░рд┐рдЯреЗрдВрдЯ, рдЯрд░реНрдиреЗрд░реА) рдХрдИ рдХрдИ-рдореВрд▓реНрдпрд╡рд╛рди рд▓реЙрдЬрд┐рдХ рд╕рд┐рд╕реНрдЯрдореЛрдВ рдореЗрдВ рд╕реЗ рдПрдХ рд╣реИ рдЬрд┐рд╕рдореЗрдВ рддреАрди рд╕рддреНрдп рдореВрд▓реНрдп рд╣реИрдВ рдЬреЛ рд╕рддреНрдп, рдЕрд╕рддреНрдп рдФрд░ рдХреБрдЫ рдЕрдирд┐рд╢реНрдЪрд┐рдд рддреАрд╕рд░реЗ рдорд╛рди рдХрд╛ рд╕рдВрдХреЗрдд рджреЗрддреЗ рд╣реИрдВред


рдЯреЗрд░реНрдирд░реА рддрд░реНрдХ рдПрдорд╡реАрдПрд▓ (рдмрд╣реБ-рдореВрд▓реНрдпрд╡рд╛рди рддрд░реНрдХ) рдЕрдиреБрд░реВрдк рд╣реИред рд╣рд╛рд▓рд╛рдБрдХрд┐, рдХреЗрд╡рд▓ рддреАрди рд▓реЙрдЬрд┐рдХ рд╕реНрдЯреЗрдЯреНрд╕ рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИ, ' 0 ', ' 1 ' рдФрд░ ' 2 'ред рднрд┐рдиреНрдирд╛рддреНрдордХ рд╕рдВрдЦреНрдпрд╛ рдХрд╛ рдЗрд╖реНрдЯрддрдо рдореВрд▓рд╛рдВрдХ ( r ) рдкреНрд░рд╛рдХреГрддрд┐рдХ рд▓рдШреБрдЧрдгрдХ ( e ) рдкрд╛рдпрд╛ рдЬрд╛рддрд╛ рд╣реИред рдЯрд░реНрдирд░реА рддрд░реНрдХ r = 3 рдХреЗ рд╕рд╛рде рд╕рдВрдЦреНрдпрд╛ рдкреНрд░рддрд┐рдирд┐рдзрд┐рддреНрд╡ рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░рддрд╛ рд╣реИ, рдмрд╛рдЗрдирд░реА рд▓реЙрдЬрд┐рдХ рдХреА рддреБрд▓рдирд╛ рдореЗрдВ рдЬреЛ r = 2 рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░рддрд╛ рд╣реИ, рдЗрд╕рд▓рд┐рдП рд╕рдмрд╕реЗ рдХрд┐рдлрд╛рдпрддреА рдкреВрд░реНрдгрд╛рдВрдХ рдореВрд▓рд╛рдВрдХ рдЬреЛ рдХрд┐ рдкреНрд░рд╛рдХреГрддрд┐рдХ рд▓рдШреБрдЧрдгрдХ рдИ рдХреЗ рд╕рдмрд╕реЗ рдХрд░реАрдм рд╣реИ, рдЖрдзрд╛рд░ 3 рд╣реИред рдмреЗрд╕ 3 рдХреА рдЗрд╕ рд╡рд┐рд╢реЗрд╖ рд╕рдВрдкрддреНрддрд┐ рдиреЗ рдкреНрд░рд╛рд░рдВрднрд┐рдХ рдХрдВрдкреНрдпреВрдЯрд░ рдХреЛ рдкреНрд░реЗрд░рд┐рдд рдХрд┐рдпрд╛ рдбрд┐рдЬрд╛рдЗрдирд░ рдПрдХ рдЯрд░реНрдирд░реА рдХрдВрдкреНрдпреВрдЯрд░ рдмрдирд╛рдиреЗ рдХреЗ рд▓рд┐рдПред


рдореЙрд╕реНрдХреЛ рд╕реНрдЯреЗрдЯ рдпреВрдирд┐рд╡рд░реНрд╕рд┐рдЯреА рдореЗрдВ 1958 рдореЗрдВ рд░реВрд╕ рдореЗрдВ рдкрд╣рд▓рд╛ рдХрд╛рд░реНрдпрд╢реАрд▓ рдЯрд░реНрдирд░реА рдХрдВрдкреНрдпреВрдЯрд░ рдмрдирд╛рдпрд╛ рдЧрдпрд╛ рдерд╛ред рдЗрд╕ рдХрдВрдкреНрдпреВрдЯрд░ рдХреЛ рдирд┐рдХреЛрд▓реЗ рдмреНрд░реВрд╕реЗрдВрдЯреЛрд╡ рдФрд░ рдЙрдирдХреЗ рд╕рд╣рдпреЛрдЧрд┐рдпреЛрдВ рджреНрд╡рд╛рд░рд╛ рдбрд┐рдЬрд╛рдЗрди рдХрд┐рдпрд╛ рдЧрдпрд╛ рдерд╛ред рдЙрдиреНрд╣реЛрдВрдиреЗ рдЗрд╕реЗ рд╕реЗрддреБрди рдирд╛рдо рджрд┐рдпрд╛, рдЬреИрд╕реЗ рдХрд┐ рд╡рд┐рд╢реНрд╡рд╡рд┐рджреНрдпрд╛рд▓рдп рдкрд░рд┐рд╕рд░ рдХреЗ рдкрд╛рд╕ рдмрд╣рдиреЗ рд╡рд╛рд▓реА рдирджреАред


рдЯрд░реНрдирд░реА рддрд░реНрдХ


рдЯрд░реНрдирд░реА рд▓реЙрдЬрд┐рдХ рдлрдВрдХреНрд╢рди рдПрдХ рдореИрдкрд┐рдВрдЧ F: {0,1,2} n -> {0,1,2} рд╣реИ ред рд╣рдо рдмрд╛рдЗрдирд░реА рд▓реЙрдЬрд┐рдХ рдкрд░ рдЯрд░реНрдирд░реА рд▓реЙрдЬрд┐рдХ рдХреЗ рдлрд╛рдпрджреЗ рдФрд░ рдиреБрдХрд╕рд╛рди рдХреЗ рдмрд╛рд░реЗ рдореЗрдВ рдЪрд░реНрдЪрд╛ рдХрд░реЗрдВрдЧреЗред


рдЬрд╣рд╛рдВ рдмреВрд▓рд┐рдпрди рд▓реЙрдЬрд┐рдХ рдореЗрдВ 2 2 = 4 рдпреВрдирд┐рдпрд░реА рдСрдкрд░реЗрдЯрд░ рд╣реЛрддреЗ рд╣реИрдВ, рдЯрд░реНрдирд░реА рд▓реЙрдЬрд┐рдХ рдореЗрдВ рдПрдХ рддреАрд╕рд░реЗ рдорд╛рди рдХреЗ рдЬреБрдбрд╝рдиреЗ рд╕реЗ рд╕рд┐рдВрдЧрд▓ рдЗрдирдкреБрдЯ рд╡реИрд▓реНрдпреВ рдкрд░ рдХреБрд▓ 3 3 = 27 рдЕрд▓рдЧ-рдЕрд▓рдЧ рдСрдкрд░реЗрдЯрд░ рд╣реЛрддреЗ рд╣реИрдВред рдЗрд╕реА рддрд░рд╣, рдЬрд╣рд╛рдВ рдмреВрд▓рд┐рдпрди рд▓реЙрдЬрд┐рдХ рдореЗрдВ 2 2 2 = 16 рдЕрд▓рдЧ-рдЕрд▓рдЧ рдмрд╛рдЗрдирд░реА рдСрдкрд░реЗрдЯрд░ (2 рдЗрдирдкреБрдЯ рд╡рд╛рд▓реЗ рдСрдкрд░реЗрдЯрд░) рд╣реЛрддреЗ рд╣реИрдВ, рдЯрд░реНрдирд░реА рд▓реЙрдЬрд┐рдХ рдореЗрдВ 3 3 2 = 19,683 рдРрд╕реЗ рдСрдкрд░реЗрдЯрд░ рд╣реЛрддреЗ рд╣реИрдВред рдЬрд╣рд╛рдБ рд╣рдо рдЖрд╕рд╛рдиреА рд╕реЗ рдмреВрд▓рд┐рдпрди рдСрдкрд░реЗрдЯрд░реЛрдВ рдХреЗ рдПрдХ рдорд╣рддреНрд╡рдкреВрд░реНрдг рдЕрдВрд╢ рдХреЛ рдирд╛рдо рджреЗ рд╕рдХрддреЗ рд╣реИрдВ (рдФрд░, рдпрд╛, рдирдВрдж, рди рд╣реА, рди рд╣реА, рд╡рд┐рд╢реЗрд╖ рдпрд╛, рд╕рдорддреБрд▓реНрдп, рдирд┐рд╣рд┐рддрд╛рд░реНрде), рдпрд╣ рд╕рдВрднрд╡ рд╣реИ рдХрд┐ рд╕рднреА рдирд╛рдорд╛рдВрдХрд┐рдд рд╕рдВрднрд╛рд╡рд┐рдд рдЯрд░реНрдирд░ рдСрдкрд░реЗрдЯрд░реЛрдВ рдХреЗ рдПрдХ рдЫреЛрдЯреЗ рд╕реЗ рдЕрдВрд╢ рдХрд╛ рдирд╛рдо рджреЗрдиреЗ рдХрд╛ рдкреНрд░рдпрд╛рд╕ рдХрд░реЗрдВред


рдЯрд░реНрдирд░реА рд▓реЙрдЬрд┐рдХ рдХреЗ рд▓рд╛рдн


рдПрдХ рдЯрд░реНрдирд░реА рддрд░реНрдХ рдкреНрд░рддрд┐рдирд┐рдзрд┐рддреНрд╡ рд╕рдорддреБрд▓реНрдп рджреНрд╡рд┐рдЖрдзрд╛рд░реА рддрд░реНрдХ рдкреНрд░рддрд┐рдирд┐рдзрд┐рддреНрд╡ рдХреА рддреБрд▓рдирд╛ рдореЗрдВ рдЕрдзрд┐рдХ рдХреЙрдореНрдкреИрдХреНрдЯ рдФрд░ рдХреБрд╢рд▓ рдЬрд╛рдирдХрд╛рд░реА рдПрдиреНрдХреЛрдбрд┐рдВрдЧ рд╕рдХреНрд╖рдо рдХрд░рддрд╛ рд╣реИред рдХрд╣рд╛ рдЧрдпрд╛ рддрд░реНрдХ рдирд┐рдореНрдирд╛рдиреБрд╕рд╛рд░ рд╣реИ: рдпрджрд┐ рд╣рдо рдорд╛рдирддреЗ рд╣реИрдВ рдХрд┐ рдПрдХ рдбрд┐рдЬрд┐рдЯрд▓ рд╕рд░реНрдХрд┐рдЯ рдореЗрдВ рдПрди рд╕рдВрднрд╡ рдЗрдирдкреБрдЯ рд╕рдВрдпреЛрдЬрди рд╣реИрдВ, рддреЛ рдПрдХ рдмрд╛рдЗрдирд░реА рд╕рд░реНрдХрд┐рдЯ рдХреЗ рд▓рд┐рдП рд▓реЙрдЧ 2 рдПрди рдЗрдирдкреБрдЯ рд▓рд╛рдЗрдиреЛрдВ рдХреА рдЖрд╡рд╢реНрдпрдХрддрд╛ рд╣реЛрддреА рд╣реИ рдФрд░ рдПрдХ рдЯрд░реНрдирд░реА рд╕рд░реНрдХрд┐рдЯ рдХреЗ рд▓рд┐рдП рд▓реЙрдЧ 3 рдПрди рдЗрдирдкреБрдЯ рд▓рд╛рдЗрдиреЛрдВ рдХреА рдЖрд╡рд╢реНрдпрдХрддрд╛ рд╣реЛрддреА рд╣реИред



рдЗрд╕рд▓рд┐рдП, рдХрд┐рд╕реА рджрд┐рдП рдЧрдП рдмрд╛рдЗрдирд░реА рд▓реЙрдЬрд┐рдХ рдлрд╝рдВрдХреНрд╢рди рдХреЗ рдЯрд░реНрдирд░реА рдЗрдирдХреЛрдбрд┐рдВрдЧ рдХрд╛рд░реНрдпрд╛рдиреНрд╡рдпрди рдХреЛ рд╕рдВрдмрдВрдзрд┐рдд рдмрд╛рдЗрдирд░реА рдХрд╛рд░реНрдпрд╛рдиреНрд╡рдпрди рдХреА рддреБрд▓рдирд╛ рдореЗрдВ 0.63 рдЧреБрдирд╛ рдЗрдирдкреБрдЯ рд▓рд╛рдЗрдиреЛрдВ рдХреА рдЖрд╡рд╢реНрдпрдХрддрд╛ рд╣реЛрдиреА рдЪрд╛рд╣рд┐рдПред


рдЯрд░реНрдирд░реА рд▓реЙрдЬрд┐рдХ рдХреЗ рдиреБрдХрд╕рд╛рди


рд╣рд╛рд▓рд╛рдВрдХрд┐ рдЯрд░реНрдирд░реА рд▓реЙрдЬрд┐рдХ рд╕рд░реНрдХрд┐рдЯ рдХреЛ рд╕рдорддреБрд▓реНрдп рдмрд╛рдЗрдирд░реА рд▓реЙрдЬрд┐рдХ рд╕рд░реНрдХрд┐рдЯ рдХреА рддреБрд▓рдирд╛ рдореЗрдВ рдХрдо рдЗрдирдкреБрдЯ рд▓рд╛рдЗрдиреЛрдВ рдХреА рдЖрд╡рд╢реНрдпрдХрддрд╛ рд╣реЛрддреА рд╣реИ, рд╡рд░реНрддрдорд╛рди рдореЗрдВ рдЯрд░реНрдирд░реА рд▓реЙрдЬрд┐рдХ рд╕рд░реНрдХрд┐рдЯ рд╡реНрдпрд╛рд╡рд╣рд╛рд░рд┐рдХ рд╡рд┐рдХрд▓реНрдк рдирд╣реАрдВ рд╣реИрдВред рдХрд╛рд░рдг рд╣реИрдВ


  1. Ternary рд╣рд╛рд░реНрдбрд╡реЗрдпрд░ рдХрд╛рд░реНрдпрд╛рдиреНрд╡рдпрди рддрдХрдиреАрдХ рдЕрднреА рднреА рд╕реИрджреНрдзрд╛рдВрддрд┐рдХ, рд╕рд┐рдореБрд▓реЗрд╢рди рдФрд░ рдкреНрд░рдпреЛрдЧрд╢рд╛рд▓рд╛ рдкрд░реАрдХреНрд╖рдг рд╕реНрддрд░реЛрдВ рдореЗрдВ рд╣реИ
  2. рдореМрдЬреВрджрд╛ рддрдХрдиреАрдХ рдХреЗ рд╡реЛрд▓реНрдЯреЗрдЬ рд╕реНрддрд░реЛрдВ рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░рддреЗ рд╣реБрдП рддреАрди рдЯрд░реНрдирд░реА рддрд░реНрдХ рд╕реНрддрд░ (0, 1, рдФрд░ 2) рдХрд╛ рдкреНрд░рддрд┐рдирд┐рдзрд┐рддреНрд╡ рдЕрднреА рддрдХ рдкреНрд░рднрд╛рд╡реА рд░реВрдк рд╕реЗ рдкрд░рд┐рднрд╛рд╖рд┐рдд рдирд╣реАрдВ рдХрд┐рдпрд╛ рдЧрдпрд╛ рд╣реИ
  3. рдХреЛрдИ рдХрдореНрдкреНрдпреВрдЯреЗрд╢рдирд▓ рдореЙрдбрд▓ рдФрд░ рдкреНрд░реЛрдЧреНрд░рд╛рдорд┐рдВрдЧ рднрд╛рд╖рд╛ рд╡рд┐рдХрд╕рд┐рдд рдирд╣реАрдВ рд╣реБрдИ рд╣реИред рд╣рд╛рд▓рд╛рдВрдХрд┐, рдкреВрд░рдХ рдзрд╛рддреБ рдСрдХреНрд╕рд╛рдЗрдб рд╕реЗрдореАрдХрдВрдбрдХреНрдЯрд░ (CMOS), рдЧреБрдВрдЬрдпрдорд╛рди рдЯрдирд▓рд┐рдВрдЧ рдбрд╛рдпреЛрдб (RTD), рдФрд░ рдХрд╛рд░реНрдмрди рдиреИрдиреЛ-рдЯреНрдпреВрдм рдкреНрд░реМрджреНрдпреЛрдЧрд┐рдХрд┐рдпреЛрдВ рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░рдХреЗ рдЯрд░реНрдирд░реА рд╕рд░реНрдХрд┐рдЯ рдХрд╛рд░реНрдпрд╛рдиреНрд╡рдпрди рдХреЗ рдкрд░рд┐рдгрд╛рдо рдХрд╛ рдкреНрд░рджрд░реНрд╢рди, рдпрд╣ рджрд░реНрд╢рд╛рддрд╛ рд╣реИ рдХрд┐ рдЯрд░реНрдирд░реА рддрд░реНрдХ рднрд╡рд┐рд╖реНрдп рдХреА рдЧрдгрдирд╛ рдХрд╛ рдПрдХ рд╡рд┐рдХрд▓реНрдк рд╣реЛ рд╕рдХрддрд╛ рд╣реИред

рдЯрд░реНрдирд░реА рдкреНрд░рдгрд╛рд▓реА рдХреЗ рд▓рд┐рдП рд╡рд┐рднрд┐рдиреНрди рд╕рдВрднрд╛рд╡рд┐рдд рдЕрднреНрдпрд╛рд╡реЗрджрди


  • рдЯрд░реНрдирд░реА рдЕрдВрдХ рдкреНрд░рдгрд╛рд▓реА (рдЕрд╕рдВрддреБрд▓рд┐рдд рдЯрд░реНрдирд░реА) , рдкреНрд░рддреНрдпреЗрдХ рдЕрдВрдХ рдПрдХ рдЯреНрд░рд┐рдЯ (рддреНрд░рд┐рд╢реВрд▓ рдЕрдВрдХ) рд╣реИ рдЬрд┐рд╕рдХрд╛ рдорд╛рди рд╣реИ: 0, 1, рдпрд╛ 2
  • рд╕рдВрддреБрд▓рд┐рдд рдЯрд░реНрдирд░реА , рдкреНрд░рддреНрдпреЗрдХ рдЕрдВрдХ рдореЗрдВ 3 рдорд╛рди рд╣реЛрддреЗ рд╣реИрдВ: 01, 0, рдпрд╛ +1; рдЗрди рдореВрд▓реНрдпреЛрдВ рдХреЛ рднреА рд╕рд░рд▓ рдХрд┐рдпрд╛ рдЬрд╛ рд╕рдХрддрд╛ рд╣реИ - рдХреНрд░рдорд╢рдГ, 0, +, (рд╕рдмрд╕реЗ рдЕрдзрд┐рдХ рдЙрдкрдпреЛрдЧ рдХрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИ)
  • рдирд┐рд░рд░реНрдердХ рджреНрд╡рд┐рдЖрдзрд╛рд░реА рдкреНрд░рддрд┐рдирд┐рдзрд┐рддреНрд╡ , рдкреНрд░рддреНрдпреЗрдХ рдЕрдВрдХ рдореЗрдВ 01, 0, 0/1 рдХрд╛ рдорд╛рди рд╣реЛ рд╕рдХрддрд╛ рд╣реИ (рдорд╛рди 0/1 рдореЗрдВ рджреЛ рдЕрд▓рдЧ-рдЕрд▓рдЧ рдкреНрд░рддрд┐рдирд┐рдзрд┐рддреНрд╡ рд╣реИрдВ)
  • рддрд┐рд░рдЫрд╛ рдмрд╛рдЗрдирд░реА рдирдВрдмрд░ рд╕рд┐рд╕реНрдЯрдо , рдХреЗрд╡рд▓ рд╕рдмрд╕реЗ рдорд╣рддреНрд╡рдкреВрд░реНрдг рдЧреИрд░-рд╢реВрдиреНрдп рдЕрдВрдХ рдХрд╛ рдорд╛рди 2 рд╣реИ, рдФрд░ рд╢реЗрд╖ рдЕрдВрдХреЛрдВ рдХрд╛ рдорд╛рди 0 рдпрд╛ 1 рд╣реИ

рд╕рдВрддреБрд▓рд┐рдд рдЯреЗрд░рд┐рдирд░реА рдирдВрдмрд░рд┐рдВрдЧ рд╕рд┐рд╕реНрдЯрдо рдХреЗ рдмрд╛рд░реЗ рдореЗрдВ рдЕрдзрд┐рдХ


рдЖрдЬ, рдЬреНрдпрд╛рджрд╛рддрд░ рд╕рднреА рд╣рд╛рд░реНрдбрд╡реЗрдпрд░ рдмрд╛рдЗрдирд░реА рдХрдВрдкреНрдпреВрдЯрд┐рдВрдЧ рдХреЗ рд▓рд┐рдП рдбрд┐рдЬрд╝рд╛рдЗрди рдХрд┐рдП рдЧрдП рд╣реИрдВред рдпрджрд┐ рд╣рдорд╛рд░реЗ рдкрд╛рд╕ рддреАрди рд╕реНрдерд┐рд░ рд░рд╛рдЬреНрдпреЛрдВ рдХреЗ рд╕рд╛рде рдПрдХ рд╕реНрдерд┐рд░ рдЗрд▓реЗрдХреНрдЯреНрд░реЙрдирд┐рдХ рдШрдЯрдХ рд╣реЛрддрд╛, рддреЛ рджреБрдирд┐рдпрд╛ рд╢рд╛рдпрдж рдЯрд░реНрдирд░реА рдХрдВрдкреНрдпреВрдЯрд┐рдВрдЧ рдореЗрдВ рдмрджрд▓ рдЬрд╛рддреАред рд╣рд╛рд▓рд╛рдВрдХрд┐, рдЖрдЬ рдпрд╣ рд╕рдЪреНрдЪрд╛рдИ рдирд╣реАрдВ рд╣реИред рд╕рдВрддреБрд▓рд┐рдд рддреНрд░рд┐рдЧреБрдЯ рдореВрд▓рд╛рдВрдХ рд╕рдВрдХреЗрддрди рдореЗрдВ рдХреБрдЫ рд▓рд╛рднрдХрд╛рд░реА рдЧреБрдг рд╣реЛрддреЗ рд╣реИрдВ:


  1. рдЯрд░реНрдирд░реА рдЙрд▓рдЯрд╛ рдЖрд╕рд╛рди рд╣реИ, рдмрд╕ 1 рдФрд░ рдЗрд╕рдХреЗ рд╡рд┐рдкрд░реАрдд рдореЗрдВ 11 рдХрд╛ рдЖрджрд╛рди-рдкреНрд░рджрд╛рди рдХрд░реЗрдВред рдпрджрд┐ рд╣рдо рдПрдХ рдЙрджрд╛рд╣рд░рдг рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░рддреЗ рд╣реИрдВ, рддреЛ 24 рдХреЛ 1T0 рдХреЗ рд░реВрдк рдореЗрдВ рджрд░реНрд╢рд╛рдпрд╛ рдЬрд╛рддрд╛ рд╣реИ, рдФрд░ -24 рдХреЛ рд╕рдВрддреБрд▓рд┐рдд рдЯрд░реНрдирд░реА рдЕрдВрдХрди рдореЗрдВ T10 рдХреЗ рд░реВрдк рдореЗрдВ рджрд░реНрд╢рд╛рдпрд╛ рдЬрд╛рддрд╛ рд╣реИ (рдЯреА рдХреЗрд╡рд▓ -1 рдХреЗ рд▓рд┐рдП рдПрдХ рд╕рдВрдХреЗрддрди рд╣реИ)ред рдпрд╣ рджреНрд╡рд┐рдЖрдзрд╛рд░реА рддрд░реНрдХ рдореЗрдВ рджреЛ рдХреЗ рдкреВрд░рдХ рдХреЗ рд▓рд┐рдП рдирд┐рдпрдо рдХреА рддреБрд▓рдирд╛ рдореЗрдВ рд╕рд░рд▓ рд╣реИред
  2. рдХрд┐рд╕реА рд╕рдВрдЦреНрдпрд╛ рдХрд╛ рд╕рдВрдХреЗрдд рдЙрд╕рдХреЗ рд╕рдмрд╕реЗ рдорд╣рддреНрд╡рдкреВрд░реНрдг рдЧреИрд░-рдЕрднрд┐рдорд╛рдиреА 'рдЯреНрд░рд┐рдЯ' рджреНрд╡рд╛рд░рд╛ рджрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИ
  3. рдирд┐рдХрдЯрддрдо рдкреВрд░реНрдгрд╛рдВрдХ рддрдХ рдЧреЛрд▓рд╛рдИ рдХрд╛ рд╕рдВрдЪрд╛рд▓рди рдЯреНрд░рдВрдХреЗрд╢рди рдХреЗ рд╕рдорд╛рди рд╣реИред
  4. рдЬреЛрдбрд╝ рдФрд░ рдШрдЯрд╛рд╡ рдЕрдирд┐рд╡рд╛рд░реНрдп рд░реВрдк рд╕реЗ рдПрдХ рд╣реА рдСрдкрд░реЗрд╢рди рд╣реИ (рдпрд╛рдиреА рдЖрдк рдХреЗрд╡рд▓ рдЕрдВрдХреЛрдВ рдХреЗ рдЕрддрд┐рд░рд┐рдХреНрдд рдХреЗ рд▓рд┐рдП рдирд┐рдпрдореЛрдВ рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░рдХреЗ рдЕрдВрдХреЛрдВ рдХреЛ рдЬреЛрдбрд╝рддреЗ рд╣реИрдВ)

рдЙрджрд╛рд╣рд░рдг:
21 10 = 1 рдЯреА 10 3 ; 296 10 = 11T00T 3 ;
-24 10 = рдЯреА 10 3 ; -137 10 = T110T1 3


рдЯреЗрд░рд┐рдирд░реА рдЕрдВрдХрдЧрдгрд┐рдд


рдЯрд░реНрдирд░реА рдЕрдВрдХрдЧрдгрд┐рдд рдмрд╛рдЗрдирд░реА рдЕрдВрдХрдЧрдгрд┐рдд рдХреА рддреБрд▓рдирд╛ рдореЗрдВ рдЕрдзрд┐рдХ рдХреЙрдореНрдкреИрдХреНрдЯ рд╕рдВрдХреЗрддрди рдХреА рдкреЗрд╢рдХрд╢ рдХрд░ рд╕рдХрддрд╛ рд╣реИ, рдФрд░ рдЕрдЧрд░ рд╣рд╛рд░реНрдбрд╡реЗрдпрд░ рдирд┐рд░реНрдорд╛рддрд╛рдУрдВ рдиреЗ рдЯрд░реНрдирд░реА рд╕реНрд╡рд┐рдЪ рдкрд╛рдпрд╛ рд╣реЛрдЧрд╛ рддреЛ рдпрд╣ рдПрдХ рд╕реНрдкрд╖реНрдЯ рд╡рд┐рдХрд▓реНрдк рд╣реЛрдЧрд╛ред


рд╕рдВрддреБрд▓рд┐рдд рдЯрд░реНрдирд░реА рдЬреЛрдбрд╝ рдФрд░ рдЧреБрдгрд╛



рдЙрджрд╛рд╣рд░рдг:


рдЯрд░реНрдмрдиреЗрд░реА рдХреЙрдореНрдмрд┐рдиреЗрд╢рди рд╕рд░реНрдХрд┐рдЯ (Ternary gates)


рдПрдХ рдХреЙрдореНрдмрд┐рдиреЗрд╢рди рд╕рд░реНрдХрд┐рдЯ рдореЗрдВ рдЗрдирдкреБрдЯ рд╡реИрд░рд┐рдПрдмрд▓, рдЯрд░реНрдирд░реА рд▓реЙрдЬрд┐рдХ рдЧреЗрдЯ рдФрд░ рдЖрдЙрдЯрдкреБрдЯ рд╡реЗрд░рд┐рдПрдмрд▓реНрд╕ рд╣реЛрддреЗ рд╣реИрдВред рд╕рд░реНрдХрд┐рдЯ рдХрд╛ рдЖрдЙрдЯрдкреБрдЯ рдХреЗрд╡рд▓ рд╡рд░реНрддрдорд╛рди рдЗрдирдкреБрдЯ рдкрд░ рдирд┐рд░реНрднрд░ рдХрд░рддрд╛ рд╣реИред рддрд░реНрдХ рдЧреЗрдЯреНрд╕ рдЗрдирдкреБрдЯ рдЪрд░ рд╕реЗ рд╕рдВрдХреЗрддреЛрдВ рдХреЛ рд╕реНрд╡реАрдХрд╛рд░ рдХрд░рддреЗ рд╣реИрдВ рдФрд░ рдЖрдЙрдЯрдкреБрдЯ рд╕рд┐рдЧреНрдирд▓ рдЙрддреНрдкрдиреНрди рдХрд░рддреЗ рд╣реИрдВред рдпрд╣ рдкреНрд░рдХреНрд░рд┐рдпрд╛ рдЯрд░реНрдирд░реА рдЬрд╛рдирдХрд╛рд░реА рдХреЛ рдмрджрд▓ рджреЗрддреА рд╣реИ
рджрд┐рдП рдЧрдП рдЗрдирдкреБрдЯ рдбреЗрдЯрд╛ рдХреЛ рдЖрд╡рд╢реНрдпрдХ рдЯрд░реНрдирд░реА рдЖрдЙрдЯрдкреБрдЯ рдбреЗрдЯрд╛ рдХреЗ рд▓рд┐рдПред


рдЬреИрд╕рд╛ рдХрд┐ рдКрдкрд░ рдЙрд▓реНрд▓реЗрдЦ рдХрд┐рдпрд╛ рдЧрдпрд╛ рд╣реИ, рд╣рдо рдмреВрд▓рд┐рдпрди рдСрдкрд░реЗрдЯрд░реЛрдВ рдХреЗ рдПрдХ рдорд╣рддреНрд╡рдкреВрд░реНрдг рдЕрдВрд╢ рдХреЛ рдЖрд╕рд╛рдиреА рд╕реЗ рдирд╛рдо рджреЗ рд╕рдХрддреЗ рд╣реИрдВ (рдФрд░, рдпрд╛, рдирдВрдж, рди рд╣реА, рди рд╣реА, рд╡рд┐рд╢реЗрд╖ рдпрд╛ рд╕рдорддреБрд▓реНрдп, рдирд┐рд╣рд┐рддрд╛рд░реНрде), рд╣рд╛рд▓рд╛рдВрдХрд┐, рд╕рднреА рдХреЗ рдирд╛рдо рдХрд╛ рдкреНрд░рдпрд╛рд╕ рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рдЕрдиреБрдЪрд┐рдд рд╣реИ, рд▓реЗрдХрд┐рди рд╕рдВрднрд╡ рдХрд╛ рдПрдХ рдЫреЛрдЯрд╛ рд╕рд╛ рдЕрдВрд╢ рдЯрд░реНрдирд░реА рдСрдкрд░реЗрдЯрд░ред рд╣рдо рдирд┐рдореНрдирд▓рд┐рдЦрд┐рдд рддреНрд░рд┐рдЧреБрдЯ рд╕рд░реНрдХрд┐рдЯ рдкрд░ рд╡рд┐рдЪрд╛рд░ рдХрд░реЗрдВрдЧреЗ:


рдФрд░ (рдиреНрдпреВрдирддрдо) : рдмреВрд▓рд┐рдпрди рдХрд╛ рд╡рд┐рд╕реНрддрд╛рд░ рдХрд░рдирд╛ рдФрд░ рдПрдХ рдЯрд░реНрдирд░реА рдлрд╝рдВрдХреНрд╢рди рдХреЗ рд▓рд┐рдП рдХрд╛рд░реНрдп рдХрд░рдирд╛ рдпрд╣ рдШреЛрд╖рд┐рдд рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рд╕реНрд╡рд╛рднрд╛рд╡рд┐рдХ рд╣реИ рдХрд┐ рдкрд░рд┐рдгрд╛рдо рдХреЗрд╡рд▓ рд╕рдЪ рд╣реИ рдпрджрд┐ рджреЛрдиреЛрдВ рдЗрдирдкреБрдЯ рд╕рд╣реА рд╣реИрдВ, рддреЛ рдЭреВрдареЗ рд╣реИрдВ рдпрджрд┐ рдХреЛрдИ рдЗрдирдкреБрдЯ рдЧрд▓рдд рд╣реИ, рдФрд░ рдЕрдиреНрдпрдерд╛ рдЕрдЬреНрдЮрд╛рдд рд╣реИред


рдФрд░ рд╕рд░реНрдХрд┐рдЯ / рд╕рддреНрдп рддрд╛рд▓рд┐рдХрд╛


рдпрд╛ (рдЕрдзрд┐рдХрддрдо) : рдпрд╣ рднреА рд╕реНрд╡рд╛рднрд╛рд╡рд┐рдХ рд╣реИ рдХрд┐ рдмреВрд▓рд┐рдпрди рдпрд╛ рдХрд╛рд░реНрдп рдХреЛ рдЯрд░реНрдирд░реА рдореЗрдВ рд╡рд┐рд╕реНрддрд╛рд░рд┐рдд рдХрд░рдХреЗ рдпрд╣ рдШреЛрд╖рд┐рдд рдХрд┐рдпрд╛ рдЬрд╛рдП рдХрд┐ рдкрд░рд┐рдгрд╛рдо рд╕рддреНрдп рд╣реИ рдпрджрд┐ рдХреЛрдИ рдЗрдирдкреБрдЯ рд╕рддреНрдп рд╣реИ, рдХреЗрд╡рд▓ рддрднреА рдЧрд▓рдд рд╣реИ рдЬрдм рджреЛрдиреЛрдВ рдЗрдирдкреБрдЯ рдЭреВрдареЗ рд╣реИрдВ, рдФрд░ рдЕрдЬреНрдЮрд╛рдд рдЕрдиреНрдпрдерд╛ред


рдпрд╛ рд╕рд░реНрдХрд┐рдЯ / рд╕рддреНрдп рддрд╛рд▓рд┐рдХрд╛


рдЖрдо рд╕рд╣рдорддрд┐ : рдмреВрд▓рд┐рдпрди рддрд░реНрдХ рдореЗрдВ, рдЕрдирдиреНрдп рдХрд╛ рд╡реНрдпреБрддреНрдХреНрд░рдо рдпрд╛ рд╕рдЪ рд╣реИ рдЬрдм рджреЛ рдЗрдирдкреБрдЯ рд╕рдорд╛рди рд╣реЛрддреЗ рд╣реИрдВ, рдФрд░ рдЭреВрдареЗ рд╣реЛрддреЗ рд╣реИрдВ рдЬрдм рд╡реЗ рдЕрд▓рдЧ рд╣реЛрддреЗ рд╣реИрдВред рдЗрд╕ рд╡рд┐рдЪрд╛рд░ рдХреЗ рдХрдИ рдкреНрд░рд╛рдХреГрддрд┐рдХ рд╡рд┐рд╕реНрддрд╛рд░ рдЯрд░реНрдирд░реА рд▓реЙрдЬрд┐рдХ рд╣реИрдВред рдЙрдирдореЗрдВ рд╕реЗ рдПрдХ рдЪрд░ рдХреЗ рдПрдХ рд╕реЗрдЯ рдХреА рддрд╛рд░реНрдХрд┐рдХ рд╕рд░реНрд╡рд╕рдореНрдорддрд┐ рд╣реИ, рдЬреЛ рд╕рдЪ рд╣реИ рдЕрдЧрд░ рд╕рднреА рд╕рддреНрдп рд╣реИрдВ, рдЭреВрдареЗ рд╣реИрдВ рдЕрдЧрд░ рд╕рднреА рдЭреВрдареЗ рд╣реИрдВ, рдФрд░ рдЕрдиреНрдпрдерд╛ рдЕрдЬреНрдЮрд╛рдд рд╣реИрдВ


рдЖрдо рд╕рд╣рдорддрд┐ рд╕рд░реНрдХрд┐рдЯ / рд╕рддреНрдп рддрд╛рд▓рд┐рдХрд╛


рдХреЛрдИ рднреА : рдЬрд╣рд╛рдВ рд╕рд░реНрд╡рд╕рдореНрдорддрд┐ рдХреЗ рд▓рд┐рдП рдЖрд╡рд╢реНрдпрдХ рд╣реИ рдХрд┐ рджреЛрдиреЛрдВ рдЗрдирдкреБрдЯ рдХреБрдЫ рднреА рд╕реНрд╡реАрдХрд╛рд░ рдХрд░рдиреЗ рд╕реЗ рдкрд╣рд▓реЗ рд╕рд╣рдордд рд╣реЛрдВ, рд▓реЗрдХрд┐рди рдЕрдЬреНрдЮрд╛рдд, рдХреБрдЫ рднреА рдСрдкрд░реЗрдЯрд░ рд╕реНрд╡реАрдХрд╛рд░ рдХрд░рддрд╛ рд╣реИ рдПрдХ рдЕрдЬреНрдЮрд╛рдд рдирд┐рд╖реНрдХрд░реНрд╖ рддрднреА рдШреЛрд╖рд┐рдд рдХрд░рддрд╛ рд╣реИ рдЬрдм рджреЛрдиреЛрдВ рдЗрдирдкреБрдЯ рдЕрдЬреНрдЮрд╛рдд рдпрд╛ рд╕рдХреНрд░рд┐рдп рд░реВрдк рд╕реЗ рдЕрд╕рд╣рдордд рд╣реЛрдВред рдЕрдиреНрдпрдерд╛, рдпрд╣ рдЙрдкрд▓рдмреНрдз рдХрд┐рд╕реА рднреА рдЧреИрд░-рдЕрдЬреНрдЮрд╛рдд рдЗрдирдкреБрдЯ рд╕реЗ рдПрдХ рдирд┐рд╖реНрдХрд░реНрд╖ рдкрд░ рдХреВрджрддрд╛ рд╣реИред


рдХреЛрдИ рднреА рд╕рд░реНрдХрд┐рдЯ / рд╕рддреНрдп рддрд╛рд▓рд┐рдХрд╛


рд╡реГрджреНрдзрд┐ рдФрд░ рд╡рд┐рдХреГрддрд┐ : рдмреВрд▓рд┐рдпрди рддрд░реНрдХ рдореЗрдВ, рдкрд▓рдЯрдиреЗрд╡рд╛рд▓рд╛ рдХреЛ рдЕрдкрдиреЗ рддрд░реНрдХ modulo 2 рдХреЛ рдмрдврд╝рд╛рдиреЗ рдпрд╛ рдШрдЯрд╛рдиреЗ рдХреЗ рдмрд╛рд░реЗ рдореЗрдВ рд╕реЛрдЪрд╛ рдЬрд╛ рд╕рдХрддрд╛ рд╣реИред рдЖрдВрддрд░рд┐рдХ рддрд░реНрдХ, modulo 3 рд╡реЗрддрди рд╡реГрджреНрдзрд┐ рдФрд░ рд╡реЗрддрди рд╡реГрджреНрдзрд┐ рдлрд╝рдВрдХреНрд╢рди рд╡реНрдпреБрддреНрдХреНрд░рдо рдХреЗ рд▓рд┐рдП рдХрд╛рдлреА рдЕрд▓рдЧ рд╣реИрдВред


рд╡реЗрддрди рд╡реГрджреНрдзрд┐ рдФрд░ рдХрдореА рд╕рд░реНрдХрд┐рдЯ


рд╕рдВрд╢реНрд▓реЗрд╖рдг, рдиреНрдпреВрдирддрдо рдФрд░ рдЯрд░реНрдирд░реА рдХрд╛рд░реНрдпреЛрдВ рдХреЗ рд▓рд┐рдП рдмреЛрдз


рд╕рдВрдмрдВрдзреЛрдВ рдХрд╛ рд╕рдВрдмрдВрдз рдЯрд░реНрдирд░реА рддрд░реНрдХ рдкреНрд░рдгрд╛рд▓реА рдореЗрдВ рд╣реЛрддрд╛ рд╣реИ



рдПрдХ рдЯрд░реНрдирд░реА рддрд░реНрдХ рдлрд╝рдВрдХреНрд╢рди рдХреЛ рдореИрдХреНрд╕-рдорд┐рди рдЕрднрд┐рд╡реНрдпрдХреНрддрд┐ рдХреЗ рд░реВрдк рдореЗрдВ рджрд░реНрд╢рд╛рдпрд╛ рдЬрд╛ рд╕рдХрддрд╛ рд╣реИред рдЯрд░реНрдирд░реА рдореИрдХреНрд╕-рдорд┐рди рдЕрднрд┐рд╡реНрдпрдХреНрддрд┐ рдХреЛ рдирд┐рдореНрдирд╛рдиреБрд╕рд╛рд░ рдкрд░рд┐рднрд╛рд╖рд┐рдд рдХрд┐рдпрд╛ рдЧрдпрд╛ рд╣реИ:
рдЪрд░ : рдХреЛрдИ рднреА рдкреНрд░рддреАрдХ рдЬреЛ T 1 {0,1,2} рд╕реЗрдЯ рд╕реЗ рдореВрд▓реНрдп рд▓реЗрддрд╛ рд╣реИ, рдПрдХ рдЯрд░реНрдирд░реА рдЪрд░ рд╣реИред
рд╢рд╛рдмреНрджрд┐рдХ : рд╢рд╛рдмреНрджрд┐рдХ рд░реВрдк рдПрдХ рдЪрд░ рдХреЗ рд░реВрдк рдореЗрдВ рдкрд░рд┐рд╡рд░реНрддрд┐рдд рд╣реЛрддреЗ рд╣реИрдВред рдЙрдирдХрд╛ рдЙрдкрдпреЛрдЧ рдореИрдХреНрд╕-рдорд┐рди рдЕрднрд┐рд╡реНрдпрдХреНрддрд┐ рдмрдирд╛рдиреЗ рдХреЗ рд▓рд┐рдП рдХрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИред


рд╕рд╛рд╣рд┐рддреНрдп рдореЗрдВ рдЖрдорддреМрд░ рдкрд░ рджреЛ рдкреНрд░рдХрд╛рд░ рдХреЗ рд╢рд╛рдмреНрджрд┐рдХ рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИ: рез-рдШрдЯрд╛ рд╣реБрдЖ рдкреЛрд╕реНрдЯ рд╢рд╛рдмреНрджрд┐рдХ рдФрд░ реи-рдШрдЯрд╛ рд╣реБрдЖ рдкреЛрд╕реНрдЯ рд╢рд╛рдмреНрджрд┐рдХред рдПрдХ рдЪрд░ x рдХрд╛ 1-рдШрдЯрд╛ рд╣реБрдЖ рдкреЛрд╕реНрдЯ рд╢рд╛рдмреНрджрд┐рдХ x i рдХреЗ рд░реВрдк рдореЗрдВ рджрд░реНрд╢рд╛рдпрд╛ рдЧрдпрд╛ рд╣реИ, рдЬрд╣рд╛рдБ рдореИрдВ, {0,1,2}ред рдЬрдм x = i, рддрдм x i = 1, рдЕрдиреНрдпрдерд╛ x i = 0. рдПрдХ рдЪрд░ рдХрд╛ 1-рдШрдЯрд╛ рд╣реБрдЖ рдкреЛрд╕реНрдЯ рд╢рд╛рдмреНрджрд┐рдХ рдиреАрдЪреЗ рджрд┐рдЦрд╛рдпрд╛ рдЧрдпрд╛ рд╣реИред


рдПрдХ рдЪрд░ x рдХрд╛ 2-рдШрдЯрд╛ рд╣реБрдЖ рдкреЛрд╕реНрдЯ рд╢рд╛рдмреНрджрд┐рдХ x i рдХреЗ рд░реВрдк рдореЗрдВ рджрд░реНрд╢рд╛рдпрд╛ рдЧрдпрд╛ рд╣реИ, рдЬрд╣рд╛рдБ рдореИрдВ, {0,1,2}ред рдЬрдм x = i, рддрдм x i = 2, рдЕрдиреНрдпрдерд╛ x i = 0. рдПрдХ рдЪрд░ рдХрд╛ 2-рдШрдЯрд╛ рд╣реБрдЖ рдкреЛрд╕реНрдЯ рд╢рд╛рдмреНрджрд┐рдХ рдиреАрдЪреЗ рджрд┐рдЦрд╛рдпрд╛ рдЧрдпрд╛ рд╣реИред рдпрд╣ рдЙрджрд╛рд╣рд░рдг рдореИрдХреНрд╕-рдорд┐рди рдЕрднрд┐рд╡реНрдпрдХреНрддрд┐ рдмрдирд╛рдиреЗ рдХреЗ рд▓рд┐рдП рд╢рд╛рдмреНрджрд┐рдХ рдХреЗ рд╡рд┐рднрд┐рдиреНрди рд╕реЗрдЯреЛрдВ рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░рддрд╛ рд╣реИ рдЬреИрд╕рд╛ рдХрд┐ рдкрд╣рд▓реЗ рдЪрд░реНрдЪрд╛ рдХреА рдЧрдИ рдереАред



Minterm : рдЬрдм рдХрд┐рд╕реА рдлрд╝рдВрдХреНрд╢рди рдХреЗ рд╡реЗрд░рд┐рдПрдмрд▓ рдХреЗ рдЕрд╡рдпрд╡ рдХреЛ рдорд┐рди рдСрдкрд░реЗрд╢рди рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░рдХреЗ рд╕рдВрдпреЛрдЬрд┐рдд рдХрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИ, рддреЛ рдЗрд╕ рд╢рдмреНрдж рдХреЛ рдорд┐рдиреНрдЯрд░рдо рдХрд╣рд╛ рдЬрд╛рддрд╛ рд╣реИред рдЙрджрд╛рд╣рд░рдг рдХреЗ рд▓рд┐рдП, 3-рдЪрд░ рддреНрд░рд┐рдЧреБрдЯреАрдп рддрд░реНрдХ рдлрд╝рдВрдХреНрд╢рди F (x, y, z) рдХреЗ рд▓рд┐рдП, xyz рдФрд░ xz рдорд┐рдиреНрдЯрд░реНрдореНрд╕ рдХреЗ рджреЛ рдЙрджрд╛рд╣рд░рдг рд╣реИрдВред


рдореИрдХреНрд╕-рдорд┐рди рдПрдХреНрд╕рдкреНрд░реЗрд╢рди : рдЬрдм рдореИрдХреНрд╕ рдСрдкрд░реЗрд╢рдВрд╕ рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░рдХреЗ рджреЛ рдпрд╛ рдЕрдзрд┐рдХ рдорд┐рдиреНрдЯрд░реЛрдВ рдХреЛ рдорд┐рд▓рд╛рдпрд╛ рдЬрд╛рддрд╛ рд╣реИ, рддреЛ рдПрдХреНрд╕рдкреНрд░реЗрд╢рди рдХреЛ рдореИрдХреНрд╕ рдСрдл рдорд┐рдиреНрдЯрд░рдореНрд╕ (рдореИрдХреНрд╕-рдорд┐рди) рдПрдХреНрд╕рдкреНрд░реЗрд╢рди рдХрд╣рд╛ рдЬрд╛рддрд╛ рд╣реИред рдЙрджрд╛рд╣рд░рдг рдХреЗ рд▓рд┐рдП, 3-рдЪрд░ рддреНрд░рд┐рдЧреБрдЯреАрдп рддрд░реНрдХ рдлрд╝рдВрдХреНрд╢рди F (x, y, z) = xy + yz + xyz рдореИрдХреНрд╕-рдорд┐рди рдЕрднрд┐рд╡реНрдпрдХреНрддрд┐ рдХрд╛ рдПрдХ рдЙрджрд╛рд╣рд░рдг рд╣реИред


рдХрд┐рд╕реА рднреА рдлрд╝рдВрдХреНрд╢рди F (x, y, z) рдХреЛ рд╣рдореЗрд╢рд╛ рдХреЗ рд░реВрдк рдореЗрдВ рджрд░реНрд╢рд╛рдпрд╛ рдЬрд╛ рд╕рдХрддрд╛ рд╣реИ




рдЯрд░реНрдирд░реА рдлрд╝рдВрдХреНрд╢рдВрд╕ рдХреЛ рдХрдо рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рддреАрди рдмреБрдирд┐рдпрд╛рджреА рддрд░реАрдХреЗ рд╣реИрдВ:


  1. рдмреВрд▓рд┐рдпрди рдмреАрдЬрдЧрдгрд┐рдд рдореЗрдВ рдмреАрдЬрдЧрдгрд┐рдд рдЕрднрд┐рд╡реНрдпрдХреНрддрд┐ рдХреА рд╣реЗрд░рдлреЗрд░ред
  2. рд╕рд╛рд░рдгреАрдмрджреНрдз рд╡рд┐рдзрд┐ред
  3. Ternary K. рдорд╛рдирдЪрд┐рддреНрд░ рд╡рд┐рдзрд┐ред
    рдЯрд░реНрдиреЗрд░реА рд╕рд░реНрдХрд┐рдЯ рдХреЗ рдХрд╛рд░реНрдпрд╛рдиреНрд╡рдпрди рдХреЗ рд▓рд┐рдП рдЯреЗрд░рдиреЗрд░реА рд╡реИрд░рд┐рдПрдмрд▓ рдХреЛ рдПрдХрд░реА рд╡реИрд░рд┐рдПрдмрд▓ (2-рд░рд┐рдбреНрдпреВрд╕реНрдб рдкреЛрд╕реНрдЯ рд▓рд┐рдЯрд░реЗрдЪрд░ рдЯреЗрдмрд▓ рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░рдХреЗ) рдореЗрдВ рдмрджрд▓рдирд╛ рдЖрд╡рд╢реНрдпрдХ рд╣реИред

рдЯрд░реНрдирд░реА рдЖрдзрд╛ рдпреЛрдЬрдХ


рдЕрддрд┐рд░рд┐рдХреНрдд рджреЛ 1 рдЯреНрд░рд┐рдЯ рд╕рдВрдЦреНрдпрд╛ рдХреЗ рд▓рд┐рдП рдПрдХ рд╕рд░реНрдХрд┐рдЯ рдХреЛ рдЖрдзреЗ рдпреЛрдЬрдХ рдХреЗ рд░реВрдк рдореЗрдВ рд╕рдВрджрд░реНрднрд┐рдд рдХрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИред рд╕рд░реНрдХрд┐рдЯ рдкрд┐рдЫрд▓реЗ рдЬреЛрдбрд╝ рдореЗрдВ рдЙрддреНрдкрдиреНрди рдХреИрд░реА рдкрд░ рд╡рд┐рдЪрд╛рд░ рдирд╣реАрдВ рдХрд░рддрд╛ рд╣реИред рдЯрд░реНрдирд░реА рд▓реЙрдЬрд┐рдХ рд╕рд┐рд╕реНрдЯрдо рдореЗрдВ рдЬреЛрдбрд╝ рдкреНрд░рдХреНрд░рд┐рдпрд╛ рдХреЛ рдиреАрдЪреЗ рджрд┐рдЦрд╛рдпрд╛ рдЧрдпрд╛ рд╣реИред рдпрд╣рд╛рдБ A рдФрд░ B рджреЛ рдЗрдирдкреБрдЯ рдФрд░ рдпреЛрдЧ рд╣реИрдВ (S) рдФрд░ рдХреИрд░реА (CARRY)
рджреЛ рдЖрдЙрдЯрдкреБрдЯ рд╣реИрдВред




рд╡рд┐рд╢реНрд▓реЗрд╖рдг


рдПрдХ рдХрд░рдиреВрдШ рдорд╛рдирдЪрд┐рддреНрд░ (рдХреЗ-рдирдХреНрд╢рд╛) рдХрд╛ рдЙрдкрдпреЛрдЧ рд░рд╛рд╢рд┐ рдФрд░ рд╡рд╣рди рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рдХрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИред K- рдорд╛рдирдЪрд┐рддреНрд░ рддрд░реНрдХ рд╕рд░реНрдХрд┐рдЯ рдХреЗ рдиреНрдпреВрдирдХрд░рдг рдФрд░ рдЕрдиреБрдХреВрд▓рди рдХреЗ рд▓рд┐рдП рдЙрдкрдпреЛрдЧреА рд╣реИрдВред рдпрд╣рд╛рдВ рдкрд░ 2 рдЗрдирдкреБрдЯ рдХрд╛ K-map рдЙрдкрдпреЛрдЧ рдХрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИред рдЪреВрдВрдХрд┐ 2 рдФрд░ 1'is рдХреЗ рд╕рдореВрд╣рди рд╕рдВрднрд╡ рдирд╣реАрдВ рд╣реИ, рдЗрд╕рд▓рд┐рдП рдЖрдЙрдЯрдкреБрдЯ рд╕рдореАрдХрд░рдг рдиреАрдЪреЗ рд╣реИред



рдХрд╛рд░реНрдпрд╛рдиреНрд╡рдпрди


рдЯрд░реНрдиреЗрд░реА рдЖрдзрд╛ рдпреЛрдЬрдХ рд╕рд░реНрдХрд┐рдЯ / рд╡реЗрд░рд┐рд▓реЙрдЧ
module half_adder ( input [1:0] A, [1:0] B, output [1:0] sum, [1:0] carry ); wire [1:0] temp = 2'b01; wire [1:0] a0, a1, a2, b0, b1, b2; wire [1:0] i0, i1, i2, i3, i4, i5; wire [1:0] o0, o1, o2, o3, o4; wire [1:0] c0, c1, c2, c3; mask msk_1(A, a0, a1, a2); mask msk_2(B, b0, b1, b2); andgate and_1(a2,b0,i0); andgate and_2(a1,b1,i1); andgate and_3(a0,b2,i2); // partial products orgate or_1(i0, i1, o0); orgate or_2(o0, i2, o1); // f1 andgate and_4(a1,b0,i3); andgate and_5(a0,b1,i4); andgate and_6(a2,b2,i5); // partial products orgate or_3(i3, i4, o2); orgate or_4(o2, i5, o3); // f2 andgate and_7(o3,temp,o4); // 1.f2 andgate andc_0(a2,b1,c0); andgate andc_1(a1,b2,c1); orgate orc_0(c0,c1,c2); orgate orc_1(c2,i5,c3); andgate andc_2(c3,temp,carry); // carry orgate or_5(o1, o4, sum); // sum endmodule 

рдЯрд░реНрдирд░реА рдкреВрд░реНрдг рдпреЛрдЬрдХ


рдЖрдзреЗ рдпреЛрдЬрдХ рдХреЗ рд╕рд╛рде, рдПрдХ рдкреВрд░реНрдг рдкреВрд░реНрдг рдпреЛрдЬрдХ рдХреЗ рдПрдХ рдЪрд░рдг рдХреЛ рдПрдХ рд╕рдВрдЦреНрдпрд╛рддреНрдордХ рддрд╛рд▓рд┐рдХрд╛ рджреНрд╡рд╛рд░рд╛ рд╡рд░реНрдгрд┐рдд рдХрд┐рдпрд╛ рдЬрд╛ рд╕рдХрддрд╛ рд╣реИ рдЬреЛ SUM рдХрд╛ рдпреЛрдЧ рджреЗрддрд╛ рд╣реИ рдФрд░ C рдореЗрдВ рдХреИрд░реА рдХреЗ рд╕рд╛рде-рд╕рд╛рде рддреАрди рдЗрдирдкреБрдЯ A, B рдХреЗ рдПрдХ рдлрдВрдХреНрд╢рди рдХреЗ рд░реВрдк рдореЗрдВ рдХреИрд░реНрд░реА рдХреЛ рдмрд╛рд╣рд░ рдирд┐рдХрд╛рд▓рддрд╛ рд╣реИ :





рд╡рд┐рд╢реНрд▓реЗрд╖рдг


рдПрдХ рдХрд░рдиреВрдШ рдорд╛рдирдЪрд┐рддреНрд░ (K-map) рдХрд╛ рдЙрдкрдпреЛрдЧ рд░рд╛рд╢рд┐ рдФрд░ рд╡рд╣рди рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рдХрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИред K- рдорд╛рдирдЪрд┐рддреНрд░ рддрд░реНрдХ рд╕рд░реНрдХрд┐рдЯ рдХреЗ рдиреНрдпреВрдиреАрдХрд░рдг рдФрд░ рдЕрдиреБрдХреВрд▓рди рдХреЗ рд▓рд┐рдП рдЙрдкрдпреЛрдЧреА рд╣реИрдВред рдпрд╣рд╛рдВ рдкрд░ 3 рдЗрдирдкреБрдЯ рдХрд╛ K-map рдЙрдкрдпреЛрдЧ рдХрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИред




рдХрд╛рд░реНрдпрд╛рдиреНрд╡рдпрди


рдЯрд░реНрдирд░реА рдкреВрд░реНрдг рдпреЛрдЬрдХ рд╕рд░реНрдХрд┐рдЯ / рд╡реЗрд░рд┐рд▓реЙрдЧ
 module ternary_full_adder ( input [1:0] A, [1:0] B, [1:0] c_in, output [1:0] sum, [1:0] c_out ); wire [1:0] temp1 = 2'b01; wire [1:0] temp2 = 2'b00; wire [1:0] a0, a1, a2, b0, b1, b2, a20; wire [1:0] i0, i1, i2, i3, i4; wire [1:0] i5, i6, i7, i8, i9, i10, i11, i12, i13, i14, i15, i16, i17; wire [1:0] o0, o1, o2, o3, o4, o5, o6, o7, o8, o9; wire [1:0] c0, c1, c2; wire [1:0] h0, h1, h2, h3, h4, h5, h6, h7; wire [1:0] t0, t1, t2, t3, t4, t5, t6, t7, t8, t9, t10, t11, t12, t13, t14, t15, t16; wire [1:0] g0, g1, g2, g3, g4, g5, g6, g7, g8, g9, g10, g11, g12, g13, g14, g15; mask mk_1(A, a0, a1, a2); mask mk_2(B, b0, b1, b2); mask mk_3(c_in, c0, c1, c2); andgate3 and3_1(a2,b0,c0, i0); andgate3 and3_2(a1,b0,c1, i1); andgate3 and3_3(a0,b0,c2, i2); andgate3 and3_4(a1,b1,c0, i3); andgate3 and3_5(a0,b1,c1, i4); andgate3 and3_6(a2,b1,c2, i5); andgate3 and3_7(a0,b2,c0, i6); andgate3 and3_8(a2,b2,c1, i7); andgate3 and3_9(a1,b2,c2, i8); andgate3 and3_10(a1,b0,c0, i9); andgate3 and3_11(a0,b0,c1, i10); orgate or__(a2, a0, a20); andgate3 and3_12(a20,b0,c2, i11); // note a20 andgate3 and3_13(a0,b1,c0, i12); andgate3 and3_14(a2,b1,c1, i13); andgate3 and3_15(a1,B,c2, i14); andgate3 and3_16(a2,b2,c0, i15); andgate3 and3_17(a1,b2,c1, i16); andgate3 and3_18(temp2,b2,c2, i17); orgate or_1(i9, i10, o0); orgate or_2(o0, i11, o1); orgate or_3(o1, i12, o2); orgate or_4(o2, i13, o3); orgate or_5(o3, i14, o4); orgate or_6(o4, i15, o5); orgate or_7(o5, i16, o6); orgate or_8(o6, i17, o7); andgate and_1(o7, temp1, o8); // 1.f2 orgate or_9(i0, i1, h0); orgate or_10(h0, i2, h1); orgate or_11(h1, i3, h2); orgate or_12(h2, i4, h3); orgate or_13(h3, i5, h4); orgate or_14(h4, i6, h5); orgate or_15(h5, i7, h6); orgate or_16(h6, i8, h7); orgate or_17_(h7, o8, sum); // sum // carry andgate3 and3_19(a2,b2,c2, t0); // f1 andgate3 and3_20(a0,b1,c2, t1); andgate3 and3_21(a0,b2,c2, t2); andgate3 and3_22(a0,b2,c1, t3); andgate3 and3_23(a1,b2,c0, t4); andgate3 and3_24(a2,b2,c0, t5); andgate3 and3_25(a1,b1,c1, t6); andgate3 and3_26(a1,b2,c1, t7); andgate3 and3_27(a1,b0,c2, t8); andgate3 and3_28(a1,b1,c2, t9); andgate3 and3_29(a1,b2,c2, t10); andgate3 and3_25_(a2,b0,c2, t11); andgate3 and3_26_(a2,b1,c2, t12); andgate3 and3_27_(a2,b0,c1, t13); andgate3 and3_28_(a2,b1,c1, t14); andgate3 and3_29_(a2,b2,c1, t15); andgate3 and3_9_(a2,b1,c0, t16); orgate or_17(t1, t2, g0); orgate or_18(g0, t3, g1); orgate or_19(g1, t4, g2); orgate or_20(g2, t5, g3); orgate or_21(g3, t6, g4); orgate or_22(g4, t7, g5); orgate or_23(g5, t8, g6); orgate or_24(g6, t9, g7); orgate or_25(g7, t10, g8); orgate or_21_(g8, t11, g9); orgate or_22_(g9, t12, g10); orgate or_23_(g10, t13, g11); orgate or_24_(g11, t14, g12); orgate or_25_(g12, t15, g13); orgate or_5_(g13, t16, g14); //f2 andgate and_2(g14, temp1, g15); // 1.f2 orgate or_26(g15, t0, c_out); // carry endmodule 

рдЯрд░реНрдирд░реА рдкреВрд░реНрдг рдШрдЯрд╛рд╡


рдЯрд░реНрдиреЗрд░реА рдлреБрд▓-рд╕рдмрдЯреНрд░реИрдХреНрдЯрд░ рдПрдХ рд╕рд░реНрдХрд┐рдЯ рд╣реИ рдЬреЛ рджреЛ рдЗрдирдкреБрдЯ рдФрд░ рдкрд┐рдЫрд▓реЗ рдЙрдзрд╛рд░ рдХреЛ рдШрдЯрд╛рддрд╛ рд╣реИред рд╕рдмрдЯреНрд░реЗрдХреНрдЯрд░ рдХреЗ рд▓рд┐рдП рд╕рддреНрдп рддрд╛рд▓рд┐рдХрд╛ рдиреАрдЪреЗ рджрд┐рдЦрд╛рдИ рдЧрдИ рд╣реИ



рд╡рд┐рд╢реНрд▓реЗрд╖рдг рдФрд░ рдЯрд░реНрдирд░реА рдкреВрд░реНрдг рдШрдЯрд╛рд╡ рдХрд╛ рдХрд╛рд░реНрдпрд╛рдиреНрд╡рдпрди




рдХреЛрдб
 module full_subtractor( input [1:0] P, Q, b_in, output [1:0] diff, b_out ); wire [1:0] temp1 = 2'b01; wire [1:0] temp2 = 2'b10; wire [1:0] a0, a1, a2, b0, b1, b2; wire [1:0] i0, i1, i2, i3, i4, i5, i6, i7, i8, i9, i10, i11, i12, i13, i14, i15, i16, i17; wire [1:0] c0, c1, c2, c3; wire [1:0] h0, h1, h2, h3, h4, h5, h6, h7, h8, h9, h10, h11; wire [1:0] t0, t1, t2, t3, t4, t5, t6, t7, t8, t9; wire [1:0] p0, p1, p2; wire [1:0] q0, q1, q2; mask mk_1(P, p0, p1, p2); mask mk_2(Q, q0, q1, q2); mask mk_3(b_in, b0, b1, b2); andgate and_0(p0, q1, i0); andgate3 and3_0(p2, p1, q2, i1); orgate or_0(i0, i1, i2); andgate and_1(b0, i2, i3); // first expression andgate and_2(p0, q0, i4); andgate and_3(p1, q1, i5); andgate and_4(p2, q2, i6); orgate or_1(i4, i5, i7); orgate or_2(i7, i6, i8); andgate and_5(i8, b1, i9); // second expression andgate and_6(p1, q0, i10); andgate and_7(p0, q2, i11); andgate and_8(p2, q1, i12); orgate or_3(i10, i11, i13); orgate or_4(i13, i12, i14); andgate and_9(i14, b2, i15); // third expression orgate or_5(i3, i9, i16); orgate or_6(i16, i15, c0); //f1 orgate or_7(i10, i12, t0); orgate or_8(t0, i11, t1); andgate and_10(t1, b0, t2); // 1 expression andgate and_11(p1, q2, i17); orgate or_9(i4, i17, t3); andgate and_12(t3, b1, t4); // 1- expression orgate or_10(i4, i5, t5); orgate or_11(t5, i6, t6); andgate and_12_(t6, b2, t7); // 1-- expression orgate or_12(t2, t4, t8); orgate or_13(t8, t7, t9); andgate and_13(t9, temp1, c1); orgate or_14(c0, c1, diff); // difference orgate or_15(q1, q2, h0); andgate and_14(h0, temp2, h1); andgate and_15(h1, b2, h3); // 1 b orgate or_16(i0, i11, h4); andgate and_16(h4, temp2, h5); // 1- b andgate and_17(i17, temp2, h6); // 1-- b andgate3 and3_1(p2, q2, b1, h7); // 1--- b andgate3 and3_2(p1, q0, b2, h8); // 1---- b orgate or_17(h3, h5, h9); orgate or_18(h9, h6, h10); orgate or_19(h10, h7, h11); orgate or_20(h11, h8, b_out); // borrow endmodule 

рдЯрд░реНрдирд░реА рд░рд┐рдкрд▓ рдХреИрд░реА рдРрдб


Ripple-carry adder (RCA) рдПрдХ рдкреНрд░рд╕рд┐рджреНрдз рд╕рд░реНрдХрд┐рдЯ рд╣реИ рдЬреЛ рджреЛ рд╕рдВрдЦреНрдпрд╛рдУрдВ рдХреЛ рдЬреЛрдбрд╝рдХрд░ рдПрдХ рджреВрд╕рд░реЗ рдХреЛ рдЬреЛрдбрд╝рдиреЗ рдХреЗ рд▓рд┐рдП рдПрдХ рдЙрддреНрдХреГрд╖реНрдЯ рд╕рд░реНрдХрд┐рдЯ рд╣реИред рдПрдХ рдЯрд░реНрдирд░реА рдЖрд░рд╕реАрдП рдЕрдкрдиреЗ рдмрд╛рдЗрдирд░реА рд╕рдордХрдХреНрд╖ рдХреЗ рд╕рдорд╛рди рд╣реИред рдПрдХ Ternary Half Adder рдХреЛ рдХрдо рд╕реЗ рдХрдо signi ern cant Ternary рдЕрдВрдХ рдЬреЛрдбрд╝рдиреЗ рдХреЗ рд▓рд┐рдП рдирд┐рдпреЛрдЬрд┐рдд рдХрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИред рдмрд╛рдХреА рдХреЛ рдЯрд░реНрдирд░реА рдлреБрд▓ рдПрдбрд░реНрд╕ рджреНрд╡рд╛рд░рд╛ рд╕рдВрдХреНрд╖реЗрдкрд┐рдд рдХрд┐рдпрд╛ рдЧрдпрд╛ рд╣реИред рдЬреИрд╕рд╛ рдХрд┐ рдкрд╣рд▓реЗ рдЙрд▓реНрд▓реЗрдЦ рдХрд┐рдпрд╛ рдЧрдпрд╛ рд╣реИ, рдЯрд░реНрдиреЗрд░реА рдлреБрд▓ рдЕрдбрд░ рддреАрди рдЯрд░реНрдиреАрд░реА рдЗрдирдкреБрдЯ рдЪрд░ рдЬреЛрдбрд╝рддрд╛ рд╣реИред



рдХрд╛рд░реНрдпрд╛рдиреНрд╡рдпрди


verilog рдХреЛрдб: рдЯрд░реНрдиреЗрд░реА рд░рд┐рдкрд▓ рдХреИрд░реА рдПрдб
 module ternary_ripple_adder ( input [15:0] input1 , input [15:0] input2 , output [15:0] out , output [1:0] overflow_trit ); wire [15:0] carry ; reg tem; assign carry[0] = tem; assign carry[1] = tem; always @(input1, input2) begin tem <= 1'b0; end generate genvar i; for (i = 0; i <= 12; i=i+2) begin full_add af({input1[i+1],input1[i]}, {input2[i+1],input2[i]}, {carry[i+1],carry[i]}, {out[i+1], out[i]}, {carry[i+3],carry[i+2]}); end full_add af({input1[15],input1[14]}, {input2[15],input2[14]}, {carry[15],carry[14]}, {out[15], out[14]}, overflow_trit); endgenerate endmodule 

рддрд┐рд░реНрдпрдХ рддреБрд▓рдирд╛рддреНрдордХ


рдЯрд░реНрдиреЗрд░реА рддреБрд▓рдирд┐рддреНрд░ рд╕рд░реНрдХрд┐рдЯ рджреЛ рдЗрдирдкреБрдЯ рдПрдХреНрд╕ 1 , рдПрдХреНрд╕ 2 рдФрд░ рддрджрдиреБрд╕рд╛рд░ рдПрдХреНрд╕ 1 = рдПрдХреНрд╕ 2 , рдПрдХреНрд╕ 1 > рдПрдХреНрд╕ 2 , рдПрдХреНрд╕ 1 <рдПрдХреНрд╕ 2 рдХреЗ рд░реВрдк рдореЗрдВ рдЖрдЙрдЯрдкреБрдЯ рдЙрддреНрдкрдиреНрди рдХрд░рддрд╛ рд╣реИред рдПрдХ рддреБрд▓рдирд┐рддреНрд░ рддреБрд▓рдирд┐рддреНрд░ рдХреЗ рд▓рд┐рдП рд╕рддреНрдп рддрд╛рд▓рд┐рдХрд╛ рдиреАрдЪреЗ рджрд┐рдЦрд╛рдИ рдЧрдИ рд╣реИ





рд╡рд┐рд╢реНрд▓реЗрд╖рдг рдФрд░ рдХрд╛рд░реНрдпрд╛рдиреНрд╡рдпрди

рдПрдХреНрд╕ 1 = рдПрдХреНрд╕ 2 , рдПрдХреНрд╕ 1 > рдПрдХреНрд╕ 2 , рдПрдХреНрд╕ 1 <рдПрдХреНрд╕ 2 рдХреЗ рд▓рд┐рдП рдЖрдЙрдЯрдкреБрдЯ рд╕рдореАрдХрд░рдг рд╣реИрдВ:




рдиреАрдЪреЗ рджрд┐рдП рдЧрдП рдХреЗ-рдореИрдк рджрд┐рдЦрд╛рдП рдЧрдП рд╣реИрдВ


рдХреЛрдб
 module ternary_comparators ( input [1:0] x1, x2, output [1:0] f1, f2, f3 ); wire [1:0] t0, t1, t2, t3, t4, t5, t6, t7; wire [1:0] h0, h1, h2, h3, h4, h5; wire [1:0] x10, x11, x12; wire [1:0] x20, x21, x22; mask mk_1(x1, x10, x11, x12); mask mk_2(x2, x20, x21, x22); andgate and_0(x10, x20, t0); andgate and_1(x22, x22, t1); orgate or_0(t0, t1, h0); orgate or_1(h0, x11, h1); orgate or_2(h1, x21, f1); // x1 == x2 andgate and_2(x11, x20, t2); andgate and_3(x12, x20, t3); andgate and_4(x12, x21, t4); orgate or_3(t2, t3, h3); orgate or_4(h3, t4, f2); // x1>x2 andgate and_5(x10, x21, t5); andgate and_6(x10, x22, t6); andgate and_7(x11, x22, t7); orgate or_5(t5, t6, h4); orgate or_6(h4, t7, f3); // x1<X2 endmodule 

рдЯрд░реНрдирд░реА рдЧреБрдгрдХ


рдЯрд░реНрдиреЗрд░реА рдорд▓реНрдЯреАрдкреНрд▓рд╛рдпрд░ рдПрдХ рд╕рд░реНрдХрд┐рдЯ рд╣реИ рдЬреЛ рджреЛ рдЗрдирдкреБрдЯ рд╕рдВрдЦреНрдпрд╛рдУрдВ рдХреЛ рдЧреБрдгрд╛ рдХрд░рддрд╛ рд╣реИ рдФрд░ рд╕рдВрдмрдВрдзрд┐рдд рдЙрддреНрдкрд╛рдж рдЙрддреНрдкрдиреНрди рдХрд░рддрд╛ рд╣реИред рдЗрд╕ рд╕рд░реНрдХрд┐рдЯ рдХреА рд╕рддреНрдп рддрд╛рд▓рд┐рдХрд╛ рдиреАрдЪреЗ рджреА рдЧрдИ рд╣реИ:





рд╡рд┐рд╢реНрд▓реЗрд╖рдг рдФрд░ рдХрд╛рд░реНрдпрд╛рдиреНрд╡рдпрди

рдЙрддреНрдкрд╛рдж рдФрд░ рдХреИрд░реА рдХреЗ рдкрд░рд┐рдгрд╛рдореА рдЕрднрд┐рд╡реНрдпрдХреНрддрд┐ рдХреЛ рджрд┐рдЦрд╛рдпрд╛ рдЧрдпрд╛ рд╣реИ:




рдЗрд╕реА K- рдирдХреНрд╢реЗ рджрд┐рдЦрд╛рдП рдЧрдП рд╣реИрдВ:


рдХреЛрдб
 module ternary_multiplier ( input [1:0] A, [1:0] B, output [1:0] product, [1:0] carry ); wire [1:0] temp = 2'b01; wire [1:0] a0, a1, a2, b0, b1, b2; wire [1:0] i0, i1, i2, i3, i4, i5; wire [1:0] o0, o1, o2, o3, o4; mask msk_1(A, a0, a1, a2); mask msk_2(B, b0, b1, b2); andgate and_1(a1,b2,i0); andgate and_2(a2,b1,i1); orgate or_1(i0, i1, o0); // f1 andgate and_4(a1,b1,i3); andgate and_5(a2,b2,i4); orgate or_3(i3, i4, o2); andgate and_3(temp,o2,o3); orgate or_4(o3, o0, product); // product andgate andc_0(a2,b2,o4); andgate andc_1(temp,o4,carry); // carry endmodule 

рдЯрд░реНрдиреЗрд░реА рдорд▓реНрдЯреАрдкреНрд▓реЗрдХреНрд╕рд░реНрд╕ рдФрд░ рдбреЗрдореБрд▓рд┐рдкреНрд▓реЗрдХреНрд╕рд░реНрд╕


рдорд▓реНрдЯреАрдкреНрд▓реЗрдХреНрд╕ рдПрдХ рд╕рд░реНрдХрд┐рдЯ рд╣реИ рдЬрд┐рд╕рдореЗрдВ рдХрдИ рдЗрдирдкреБрдЯ рдФрд░ рдПрдХ рдЖрдЙрдЯрдкреБрдЯ рд╣реЛрддрд╛ рд╣реИред рдЗрд╕реЗ рдбрд┐рдХреЛрдбрд░ рдХреЗ рдирд╛рдо рд╕реЗ рднреА рдЬрд╛рдирд╛ рдЬрд╛рддрд╛ рд╣реИред рдорд▓реНрдЯреАрдкреНрд▓реЗрдХреНрд╕ рдХрд╛ рдЖрдЙрдЯрдкреБрдЯ рдлрд╝рдВрдХреНрд╢рди рдлрд╝рдВрдХреНрд╢рди рд▓рд╛рдЗрдиреЛрдВ рдХреА рд╕рдВрдЦреНрдпрд╛ рд╕реЗ рдирд┐рд░реНрдзрд╛рд░рд┐рдд рд╣реЛрддрд╛ рд╣реИред рдЗрд╕ рдкреНрд░рдХрд╛рд░ 2 рдЯреНрд░рд┐рдЯ рдХреЗ рд▓рд┐рдП
рдорд▓реНрдЯреАрдкреНрд▓реЗрдХреНрд╕рд░ рдЖрдЙрдЯрдкреБрдЯ 3 2 = 9 рд╣реЛрдЧрд╛ рдФрд░ рджреЛ рдлрдВрдХреНрд╢рди рд╕реЗрд▓реЗрдХреНрдЯ рд▓рд╛рдЗрдиреНрд╕ рд╣реЛрдВрдЧреЗред рдорд▓реНрдЯреАрдкреНрд▓реЗрдХреНрд╕рд░ рдпрд╛рдиреА рдлрдВрдХреНрд╢рди
рдЪрдпрди рддрд░реНрдХ рдЖрдЙрдЯрдкреБрдЯ рдХреЗ рд░реВрдк рдореЗрдВ 9 рдХрд╛рд░реНрдпреЛрдВ рдореЗрдВ рд╕реЗ 1 рдХрд╛ рдЪрдпрди рдХрд░рддрд╛ рд╣реИред рд▓реЙрдЬрд┐рдХ рдЧреЗрдЯреНрд╕ рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░рдХреЗ рдлрдВрдХреНрд╢рди рд╕рд┐рд▓реЗрдХреНрдЯ рд▓реЙрдЬрд┐рдХ рд▓рд╛рдЧреВ рдХрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИред рдлрд╝рдВрдХреНрд╢рди рдЪрдпрди рддрд░реНрдХ рдХрд╛ рдЖрдЙрдЯрдкреБрдЯ рд╕рдореАрдХрд░рдг рд╣реИ:



рд╡рд┐рд╢реНрд▓реЗрд╖рдг


Demultiplexer рдХреЛ рдПрдирдХреЛрдбрд░ рднреА рдХрд╣рд╛ рдЬрд╛рддрд╛ рд╣реИред рдЗрд╕рдХреА рдХрд╛рд░реНрдпрдХреНрд╖рдорддрд╛ рдорд▓реНрдЯреАрдкреНрд▓реЗрдХреНрд╕рд░ рдХреЗ рд╡рд┐рдкрд░реАрдд рд╣реИред рдпрд╣ рдПрдХрд▓ рдЗрдирдкреБрдЯ рдХреЛ рд╕реНрд╡реАрдХрд╛рд░ рдХрд░рддрд╛ рд╣реИ рдФрд░ рдЗрд╕реЗ рдХрдИ рдЖрдЙрдЯрдкреБрдЯ рдкрд░ рд╡рд┐рддрд░рд┐рдд рдХрд░рддрд╛ рд╣реИ


рд╕рд░рд▓ рдЯрд░реНрдирд░реА рдбреА рдХреБрдВрдбреА


рд╣рд╛рд▓рд╛рдВрдХрд┐ рдХреЙрдореНрдмрд┐рдиреЗрд╢рди рдЯрд░реНрдирд░реА рд▓реЙрдЬрд┐рдХ рдХреЛ рд▓рд╛рдЧреВ рдХрд░рдиреЗ рд╡рд╛рд▓реЗ рд╕рд░реНрдХрд┐рдЯ рдХрд╛ рдбрд┐рдЬрд╝рд╛рдЗрди рд╕реАрдзрд╛ рд╣реИ, рдПрдХреАрдХреГрдд рд╕рд░реНрдХрд┐рдЯ (рдЖрдИрд╕реА) рдХреЗ рдХрд╛рд░реНрдпрд╛рдиреНрд╡рдпрди рдХреЗ рд▓рд┐рдП рдЙрдкрдпреБрдХреНрдд рдПрдХ рд╕рд░рд▓ рдФрд░ рдордЬрдмреВрдд рдЯрд░реНрдирд░реА рдореЗрдореЛрд░реА рдПрд▓рд┐рдореЗрдВрдЯ (рдпрд╛рдиреА рдХреБрдВрдбреА) рдХрд╛ рдбрд┐рдЬрд╝рд╛рдЗрди рдЪреБрдиреМрддреАрдкреВрд░реНрдг рд░рд╣рд╛ рд╣реИред рд╣рд╛рд▓рд╛рдБрдХрд┐, рдПрдХ рд╕рд╛рдзрд╛рд░рдг рдЯрд░реНрдирд░реА рдХреБрдВрдбреА рдмрд╛рдЗрдирд░реА NOR рдпрд╛ NAND рдЧреЗрдЯ рдХреА рдЬрдЧрд╣ рдЗрд╕реА рдЯрд░реНрдирд░реА T_NOR рдпрд╛ T_NAND рдЧреЗрдЯ рдХреЗ рд╕рд╛рде рдкреНрд░рдпреЛрдЧ рдХрд░рдХреЗ рдкреНрд░рд╛рдкреНрдд рдХреА рдЬрд╛ рд╕рдХрддреА рд╣реИред



рд╕рд╛рдзрд╛рд░рдг рдЯрд░реНрдирд░реА рдбреА рдлреНрд▓рд┐рдк-рдлреНрд▓реИрдк-рдлреНрд▓реЙрдк


рдорд╛рд╕реНрдЯрд░ рд╕реНрд▓реЗрд╡ (рдПрдордПрд╕) рдЯрд░реНрдирд░реА рдбреА рдлреНрд▓рд┐рдк-рдлреНрд▓реИрдк-рдлреНрд▓реЙрдк (рдПрдлрдПрдлрдПрдл) рдХрд╛ рдкрддрд╛ рдЯрд░реНрдиреЗрд░реА рдбреА рд▓реИрдЪреЗрд╕ рдХреЗ рдЖрдзрд╛рд░ рдкрд░ рд▓рдЧрд╛рдпрд╛ рдЬрд╛рддрд╛ рд╣реИред рдпрд╣ рдмрд╛рдЗрдирд░реА рдбреА рдлреНрд▓рд┐рдк-рдлреНрд▓реЙрдк (рдПрдлрдПрдл) рдмрд╛рдЗрдирд░реА рдбреА рд▓реИрдЪреЗрд╕ рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░рдиреЗ рдХреЗ рддрд░реАрдХреЗ рдХреЗ рд╕рдорд╛рди рд╣реИред рддрд░реНрдХ рдЖрд░реЗрдЦ рдФрд░ рдПрдордПрд╕ рдмрд╛рдЗрдирд░реА рдбреА рдлреНрд▓рд┐рдк-рдлреНрд▓реЙрдк рдХреЗ рдСрдкрд░реЗрд╢рди рд╡рд┐рд╡рд░рдг рдЕрдЪреНрдЫреА рддрд░рд╣ рд╕реЗ рдЬреНрдЮрд╛рдд рд╣реИрдВред рдПрдордПрд╕ рдЯрд░реНрдирд░реА рдбреА рдПрдлрдПрдлрдПрдл рдХреЛ рд▓рд╛рдЧреВ рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП, рд╣рдо рдмрд╛рдЗрдирд░реА рдбреА рд▓реИрдЪреЗрд╕ рдХреЛ рдЯрд░реНрдирд░реА рдбреА рд▓реИрдЪреЗрд╕ (рджреЛ рдЗрдирдкреБрдЯреЛрдВ рдХреЗ рдЯрд░реНрдирд░реА рдиреЗрдЧреЗрдЯрд┐рд╡-рдорд┐рдирд┐рдорди рдЧреЗрдЯреНрд╕ рдХреЗ рд╕рд╛рде рдорд╣рд╕реВрд╕ рдХрд┐рдпрд╛ рдЧрдпрд╛ рд╣реИ) рдФрд░ рд╕рд╛рдзрд╛рд░рдг рдЗрдирд╡рд░реНрдЯрд░ рдЗрдирд╡рд░реНрдЯрд░ (рдПрд╕рдЯреАрдЖрдИ) рдХреЗ рдмрд╛рдЗрдирд░реА рдЗрдирд╡рд░реНрдЯрд░ рдХреА рдЬрдЧрд╣ рд▓реЗрддреЗ рд╣реИрдВред рдЯрд░реНрдирд░реА рдирдВрдж рд╕рд░реНрдХрд┐рдЯ рдФрд░ рдЯрд░реНрдирд░реА рдПрд╕рдЯреАрдЖрдИ рд╕рд░реНрдХрд┐рдЯ рджреЛрдиреЛрдВ рдХреЗ рд▓рд┐рдП рд╕рддреНрдп рддрд╛рд▓рд┐рдХрд╛рдУрдВ рдХреЛ рджрд┐рдЦрд╛рдпрд╛ рдЧрдпрд╛ рд╣реИ


рдирдВрдж рдФрд░ рдПрд╕рдЯреАрдЖрдИ рд╕рд░реНрдХрд┐рдЯ рдХреЗ рд▓рд┐рдП рд╕рддреНрдп рддрд╛рд▓рд┐рдХрд╛


рдмрд╛рдЗрдирд░реА рдШрдбрд╝реА рдХреЗ рд╕рд╛рде рдПрдордПрд╕ рдЯрд░реНрдирд░реА рдбреА рдПрдлрдПрдлрдПрдл рдХреЗ рд▓рд┐рдП, рдбреЗрдЯрд╛ рдЯрд░реНрдирд░реА (0, 1 рдФрд░ 2 рд▓реЙрдЬрд┐рдХ) рд╣реИрдВ рдФрд░ рдШрдбрд╝реА рдмрд╛рдЗрдирд░реА (рдХрдо рдФрд░ рдЙрдЪреНрдЪ - рд╣рдорд╛рд░реЗ рдореЗрдВ рд╣реИ)
рдХрд╛рд░реНрдпрд╛рдиреНрд╡рдпрди, 0 рдФрд░ 2 рддрд░реНрдХ)ред рдПрдордПрд╕ рдЯрд░реНрдиреЗрд░реА рдбреА рдПрдлрдПрдлрдПрдл рдХреЗ рд╕рд╛рде
рдмрд╛рдЗрдирд░реА рдХреНрд▓реЙрдХ рдбреЗрдЯрд╛ рдХреЛ рдкрдврд╝ рд╕рдХрддрд╛ рд╣реИ рдЬрдм рдШрдбрд╝реА рдХрдо рд╕реЗ рдЪрд▓реА рдЬрд╛рддреА рд╣реИ
рдЙрдЪреНрдЪ (рд╕рдХрд╛рд░рд╛рддреНрдордХ рдмрдврд╝рдд) рдпрд╛ рдЙрдЪреНрдЪ рд╕реЗ рдирд┐рдореНрди (рдирдХрд╛рд░рд╛рддреНрдордХ рдмрдврд╝рдд) рдкрд░ рдирд┐рд░реНрднрд░ рдХрд░рддрд╛ рд╣реИ
рдХреА рд╕рдВрдЦреНрдпрд╛ рдкрд░ рдПрд╕.рдЯреА.рдЖрдИ.


Ternary D FFF рдХреЗ рдЗрдирдкреБрдЯ рдбреЗрдЯрд╛ рдФрд░ Clk рд╣реИрдВ, рдФрд░ рдЖрдЙрдЯрдкреБрдЯ Q рдФрд░ Not_Q рд╣реИрдВред рд╡рд┐рджреНрдпреБрдд рд╕рд┐рдЧреНрдирд▓ рдХреЗ рд╕рд╛рде рдкрддреНрд░рд╛рдЪрд╛рд░ рдмрдирд╛рдП рд░рдЦрдиреЗ рдХреЗ рд▓рд┐рдП рдШрдбрд╝реА рд╕рдВрдХреЗрдд рджреНрд╡рд┐рдЖрдзрд╛рд░реА рд╣реИ рдФрд░ рддрд░реНрдХ рд╕реНрддрд░ 0 рдФрд░ 2 рджрд░реНрд╢рд╛рдП рдЧрдП рд╣реИрдВ


рд╕рд┐рдореБрд▓реЗрд╢рди







1-рдмрд┐рдЯ рдЯрд░реНрдиреЗрд░реА рдЕрдВрдХрдЧрдгрд┐рдд рдФрд░ рддрд░реНрдХ рдЗрдХрд╛рдИ (T-ALU)


рдЯрд░реНрдиреЗрд░реА рдЕрд░рд┐рдердореЗрдЯрд┐рдХ рд▓реЙрдЬрд┐рдХ рдпреВрдирд┐рдЯ (ALU) рдПрдХ рдбрд┐рдЬрд┐рдЯрд▓ рд╕рд░реНрдХрд┐рдЯ рд╣реИ рдЬрд┐рд╕рдХрд╛ рдЙрдкрдпреЛрдЧ рдЕрдВрдХрдЧрдгрд┐рдд рдФрд░ рддрд░реНрдХ рд╕рдВрдЪрд╛рд▓рди рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рдХрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИред рдпрд╣ рдПрдХ рдЯрд░реНрдирд░реА рдХрдВрдкреНрдпреВрдЯрд░ рдХреЗ рд╕реЗрдВрдЯреНрд░рд▓ рдкреНрд░реЛрд╕реЗрд╕рд┐рдВрдЧ рдпреВрдирд┐рдЯ (рд╕реАрдкреАрдпреВ) рдХреЗ рдореВрд▓рднреВрдд рдирд┐рд░реНрдорд╛рдг рдЦрдВрдб рдХрд╛ рдкреНрд░рддрд┐рдирд┐рдзрд┐рддреНрд╡ рдХрд░рддрд╛ рд╣реИред ALU рдЗрд╕рдХреЗ рдЕрд▓рд╛рд╡рд╛, рдШрдЯрд╛рд╡, рдЧреБрдгрд╛, рдФрд░ рддрд░реНрдХ рд╕рдВрдЪрд╛рд▓рди рдЬреИрд╕реЗ рдЕрдВрдХрдЧрдгрд┐рддреАрдп рд╕рдВрдЪрд╛рд▓рди рдХрд░рддрд╛ рд╣реИ, NAND, NOR, NOT, AND, рдФрд░ ORред рдиреАрдЪреЗ рдПрдХ 1 рдЯреНрд░рд┐рдЯ ALU рдХрд╛ рдПрдХ рдЖрджрд┐рдо рд╡рд╛рд╕реНрддреБрдХрд▓рд╛ рджрд┐рдЦрд╛рдпрд╛ рдЧрдпрд╛ рд╣реИ



T-ALU рдХреЗ рд▓рд┐рдП рд╕рддреНрдп рд╕рд╛рд░рдгреА рдФрд░ рдХрд╛рд░реНрдпрдкреНрд░рдгрд╛рд▓реА


ALU рдХреЗ рдмреЗрд╕рд┐рдХ рдмрд┐рд▓реНрдбрд┐рдВрдЧ рдмреНрд▓реЙрдХ рдбрд┐рдХреЛрдбрд░реНрд╕, рдлрдВрдХреНрд╢рди рд╕рд┐рд▓реЗрдХреНрдЯ рд▓реЙрдЬрд┐рдХ (рдорд▓реНрдЯреАрдкреНрд▓реЗрдХреНрд╕), рдЯреНрд░рд╛рдВрд╕рдорд┐рд╢рди рдЧреЗрдЯ рдФрд░ рдЕрд▓рдЧ рдкреНрд░реЛрд╕реЗрд╕рд┐рдВрдЧ рдореЙрдбреНрдпреВрд▓ рд╣реИрдВред рдлрд╝рдВрдХреНрд╢рди рдЪрдпрди рд▓рд╛рдЗрдиреЛрдВ рдбрдмреНрд▓реНрдпреВ рдФрд░ рдЬреЗрдб рдкрд░ рддрд░реНрдХ рд╕реНрдерд┐рддрд┐ рдХреЗ рдЖрдзрд╛рд░ рдкрд░ рд╕реВрдЪреАрдмрджреНрдз рдлрд╝рдВрдХреНрд╢рди 9 рдореЗрдВ рд╕реЗ 1 рдлрд╝рдВрдХреНрд╢рди рдХрд╛ рдЪрдпрди рдХрд░рддрд╛ рд╣реИред


рдЪрдпрди рддрд░реНрдХ рдХреА рдЖрдЙрдЯрдкреБрдЯ рд▓рд╛рдЗрдиреЗрдВ рдкреНрд░рддреНрдпреЗрдХ рдореЙрдбреНрдпреВрд▓ рд╕реЗ рдЬреБрдбрд╝реЗ рдЯреАрдЬреА (рдЯрд░реНрдирд░реА рдЧреЗрдЯ) рд╕реЗ рдЬреБрдбрд╝реА рд╣реЛрддреА рд╣реИрдВред рдХрд┐рд╕реА рднреА рдореЙрдбреНрдпреВрд▓ рдХрд╛ рдЪрдпрди рдХреЗрд╡рд▓ рддрднреА рдХрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИ рдЬрдм рд╕рдВрдмрдВрдзрд┐рдд TG рдХреЛ рд╕рдХреНрд╖рдо рдХрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИ рдЕрдиреНрдпрдерд╛ рдЙрд╕реЗ рдбреЗрдЯрд╛ рд▓рд╛рдЗрдиреЛрдВ рд╕реЗ рдЕрд▓рдЧ рдХрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИред рдЙрджрд╛рд╣рд░рдг рдХреЗ рд▓рд┐рдП рдпрджрд┐ рдЪреБрдирд┐рдВрджрд╛ рдкрдВрдХреНрддрд┐рдпреЛрдВ W рдФрд░ Z = 0 рд╕реЗ рдЗрдирдкреБрдЯ, рдЪрдпрди рддрд░реНрдХ рдХрд╛ рдЖрдЙрдЯрдкреБрдЯ E 0 рдЙрдЪреНрдЪ (2) рд╣реИ, рдЬрдмрдХрд┐ E 1 , E 8 рд╕реЗ рдХрдо рд╣реИ (0) рдЗрд╕рд▓рд┐рдП, рдпреЛрдЬрдХ рдореЙрдбреНрдпреВрд▓ рд╕реЗ рдЬреБрдбрд╝реЗ TG рдбреЗрдЯрд╛ рдХреЛ рдЕрдиреБрдорддрд┐ рджреЗрдиреЗ рдореЗрдВ рд╕рдХреНрд╖рдо рд╣реЛрдВрдЧреЗ
рдпреЛрдЬрдХ рдореЙрдбреНрдпреВрд▓ рд╕реЗ рдХрдиреЗрдХреНрдЯ рд╣реЛрдиреЗ рд╡рд╛рд▓реА рд▓рд╛рдЗрдиреЗрдВ рдЬрдмрдХрд┐ рдЕрдиреНрдп рдореЙрдбреНрдпреВрд▓ рдбреЗрдЯрд╛ рд▓рд╛рдЗрдиреЛрдВ рд╕реЗ рдкреГрдердХ рд╣реИрдВред


рдЕрдВрдд рдореЗрдВ, n / 2 рдЯреНрд░рд┐рдЯ ALU рд╕реНрд▓рд╛рдЗрд╕ рдХреЛ рдХреИрд╕реНрдХреЗрдбрд┐рдВрдЧ рдХрд░рдХреЗ, n рдЯреНрд░рд┐рдЯ ALU рдХрд╛ рдЧрдарди рдХрд┐рдпрд╛ рдЬрд╛ рд╕рдХрддрд╛ рд╣реИред

Source: https://habr.com/ru/post/hi431726/


All Articles