рд╕рд╛рдЗрдмреЗрд░рд┐рдпрд╛ рдХрд╛ рдПрдХ 10 рд╡реАрдВ рдЧреНрд░реЗрдбрд░ рдкреНрд░реЛрд╕реЗрд╕рд░ рдбрд┐рдЬрд╛рдЗрдирд░ рдмрдирдирд╛ рдЪрд╛рд╣рддрд╛ рд╣реИред FPGA рддрдВрддреНрд░рд┐рдХрд╛ рддреНрд╡рд░рдХ рдХреНрдпреЛрдВ рдирд╣реАрдВ рдмрдирд╛рддреЗ рд╣реИрдВ?

рдХрд▓ рдореБрдЭреЗ рд╕рд╛рдЗрдмреЗрд░рд┐рдпрд╛ рд╕реЗ рджрд╕рд╡реЗрдВ рдЧреНрд░реЗрдбрд░ рдХрд╛ рдПрдХ рдкрддреНрд░ рдорд┐рд▓рд╛ рдЬреЛ рдорд╛рдЗрдХреНрд░реЛрдкреНрд░реЛрд╕реЗрд╕рд░ рдбреЗрд╡рд▓рдкрд░ рдмрдирдирд╛ рдЪрд╛рд╣рддрд╛ рд╣реИред рдЗрд╕ рдХреНрд╖реЗрддреНрд░ рдореЗрдВ рдЙрд╕реЗ рдкрд╣рд▓реЗ рд╕реЗ рд╣реА рдХреБрдЫ рдирддреАрдЬреЗ рдорд┐рд▓реЗ - рдЙрд╕рдиреЗ рд╕рдмрд╕реЗ рд╕рд░рд▓ рд╕реНрдХреВрд▓ рдореЗрдкреНрд╕ рдкреНрд░реЛрд╕реЗрд╕рд░ рдореЗрдВ рдЧреБрдгрди рдирд┐рд░реНрджреЗрд╢ рдХреЛ рдЬреЛрдбрд╝рд╛, рдЗрд╕реЗ рдЗрдВрдЯреЗрд▓ рдПрдлрдкреАрдЬреАрдП рдореИрдХреНрд╕ 10 рдПрдлрдкреАрдЬреАрдП рдХреЗ рд▓рд┐рдП рд╕рдВрд╢реНрд▓реЗрд╖рд┐рдд рдХрд┐рдпрд╛, рдЕрдзрд┐рдХрддрдо рдЖрд╡реГрддреНрддрд┐ рдФрд░ рд╕рд╛рдзрд╛рд░рдг рдХрд╛рд░реНрдпрдХреНрд░рдореЛрдВ рдХреА рдЙрддреНрдкрд╛рджрдХрддрд╛ рдореЗрдВ рд╡реГрджреНрдзрд┐ рдХреЛ рдирд┐рд░реНрдзрд╛рд░рд┐рдд рдХрд┐рдпрд╛ред рдЙрд╕рдиреЗ рдпрд╣ рд╕рдм рдкрд╣рд▓реА рдмрд╛рд░ рдиреЛрд╡реЛрд╕рд┐рдмрд┐рд░реНрд╕реНрдХ рдХреНрд╖реЗрддреНрд░ рдХреЗ рдмрд░реНрдорд┐рд╕реНрдЯреНрд░реЛрд╡реЛ рдЧрд╛рдВрд╡ рдореЗрдВ рдХрд┐рдпрд╛ рдФрд░ рдлрд┐рд░ рдЯреЙрдореНрд╕реНрдХ рдореЗрдВ рдПрдХ рд╕рдореНрдореЗрд▓рди рдореЗрдВред

рдЕрдм рджрд╢рд╛ рдХреНрд░рд┐рд╡рд░реЛрдЪрдХреЛ (рджрд╕рд╡реЗрдВ рдЧреНрд░реЗрдбрд░ рдХрд╛ рдирд╛рдо) рдореЙрд╕реНрдХреЛ рдмреЛрд░реНрдбрд┐рдВрдЧ рд╕реНрдХреВрд▓ рдореЗрдВ рд░рд╣рдиреЗ рдХреЗ рд▓рд┐рдП рдЪрд▓реЗ рдЧрдП рдФрд░ рдореБрдЭрд╕реЗ рдкреВрдЫрддреЗ рд╣реИрдВ рдХрд┐ рдЙрдиреНрд╣реЗрдВ рдФрд░ рдХреНрдпрд╛ рдбрд┐рдЬрд╛рдЗрди рдХрд░рдирд╛ рдЪрд╛рд╣рд┐рдПред рдореБрдЭреЗ рд▓рдЧрддрд╛ рд╣реИ рдХрд┐ рдЕрдкрдиреЗ рдХрд░рд┐рдпрд░ рдореЗрдВ рдЗрд╕ рд╕реНрддрд░ рдкрд░, рдЙрдиреНрд╣реЗрдВ рдорд▓реНрдЯреАрдкреНрд▓реЗрдХреНрд╢рди рдХреЗ рд▓рд┐рдП рд╕рд┐рд╕реНрдЯреЛрд▓рд┐рдХ рд╕рд░рдгреА рдХреЗ рдЖрдзрд╛рд░ рдкрд░ рддрдВрддреНрд░рд┐рдХрд╛ рдиреЗрдЯрд╡рд░реНрдХ рдХреЗ рд▓рд┐рдП рдПрдХ рд╣рд╛рд░реНрдбрд╡реЗрдпрд░ рддреНрд╡рд░рдХ рдбрд┐рдЬрд╝рд╛рдЗрди рдХрд░рдирд╛ рдЪрд╛рд╣рд┐рдПред Verilog рд╣рд╛рд░реНрдбрд╡реЗрдпрд░ рд╡рд┐рд╡рд░рдг рднрд╛рд╖рд╛ рдФрд░ рдЗрдВрдЯреЗрд▓ FPGA FPGA рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░реЗрдВ, рд▓реЗрдХрд┐рди рд╕рд╕реНрддреЗ MAX10 рдирд╣реАрдВ, рд▓реЗрдХрд┐рди рдПрдХ рдмрдбрд╝реЗ рд╕рд┐рд╕реНрдЯреЛрд▓рд┐рдХ рд╕рд░рдгреА рдХреЛ рд╕рдорд╛рдпреЛрдЬрд┐рдд рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рдХреБрдЫ рдЕрдзрд┐рдХ рдорд╣рдВрдЧрд╛ред

рдЙрд╕рдХреЗ рдмрд╛рдж, рд╕реНрдХреВрд▓рдордкреНрд╕ рдкреНрд░реЛрд╕реЗрд╕рд░ рдкрд░ рдЪрд▓рдиреЗ рд╡рд╛рд▓реЗ рдкреНрд░реЛрдЧреНрд░рд╛рдо рдХреЗ рд╕рд╛рде рд╣рд╛рд░реНрдбрд╡реЗрдпрд░ рд╕рдорд╛рдзрд╛рди рдХреЗ рдкреНрд░рджрд░реНрд╢рди рдХреА рддреБрд▓рдирд╛ рдХрд░реЗрдВ, рд╕рд╛рде рд╣реА рдбреЗрд╕реНрдХрдЯреЙрдк рдХрдВрдкреНрдпреВрдЯрд░ рдкрд░ рдЪрд▓рдиреЗ рд╡рд╛рд▓реЗ рдкрд╛рдпрдерди рдкреНрд░реЛрдЧреНрд░рд╛рдо рдХреЗ рд╕рд╛рдеред рдПрдХ рдкрд░реАрдХреНрд╖рдг рдорд╛рдорд▓реЗ рдХреЗ рд░реВрдк рдореЗрдВ, рдПрдХ рдЫреЛрдЯреЗ рдореИрдЯреНрд░рд┐рдХреНрд╕ рд╕реЗ рд╕рдВрдЦреНрдпрд╛рдУрдВ рдХреА рдорд╛рдиреНрдпрддрд╛ рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░реЗрдВред



рд╡рд╛рд╕реНрддрд╡ рдореЗрдВ, рдЗрд╕ рдЕрднреНрдпрд╛рд╕ рдХреЗ рд╕рднреА рд╣рд┐рд╕реНрд╕реЛрдВ рдХреЛ рдкрд╣рд▓реЗ рд╕реЗ рд╣реА рдЕрд▓рдЧ-рдЕрд▓рдЧ рд▓реЛрдЧреЛрдВ рджреНрд╡рд╛рд░рд╛ рд╡рд┐рдХрд╕рд┐рдд рдХрд┐рдпрд╛ рдЧрдпрд╛ рд╣реИ, рд▓реЗрдХрд┐рди рдкреВрд░реЗ рдмрд┐рдВрджреБ рдХреЛ рдПрдХ рдПрдХрд▓ рдкреНрд░рд▓реЗрдЦрд┐рдд рдЕрднреНрдпрд╛рд╕ рдореЗрдВ рдбрд╛рд▓ рджрд┐рдпрд╛ рдЧрдпрд╛ рд╣реИ, рдЬрд┐рд╕реЗ рддрдм рдСрдирд▓рд╛рдЗрди рдкрд╛рдареНрдпрдХреНрд░рдо рдФрд░ рд╡реНрдпрд╛рд╡рд╣рд╛рд░рд┐рдХ рдкреНрд░рддрд┐рдпреЛрдЧрд┐рддрд╛рдУрдВ рдХреЗ рд▓рд┐рдП рдЖрдзрд╛рд░ рдХреЗ рд░реВрдк рдореЗрдВ рдЗрд╕реНрддреЗрдорд╛рд▓ рдХрд┐рдпрд╛ рдЬрд╛ рд╕рдХрддрд╛ рд╣реИ:

1) eNano, RUSNANO рдХрд╛ рд╢реИрдХреНрд╖рд┐рдХ рд╡рд┐рднрд╛рдЧ, рдЬрд┐рд╕рдиреЗ рдЕрддреАрдд рдореЗрдВ рдЫрд╛рддреНрд░реЛрдВ рдХреЗ рд▓рд┐рдП рдЖрдзреБрдирд┐рдХ рдЗрд▓реЗрдХреНрдЯреНрд░реЙрдирд┐рдХреНрд╕ (RTL-to-GDSII рдорд╛рд░реНрдЧ) рдХреЗ рдбрд┐рдЬрд╛рдЗрди рдкрд░ рдЪрд╛рд░реНрд▓реНрд╕ рджрдВрдЪреЗрдХ рд╕реЗрдорд┐рдирд╛рд░ рдЖрдпреЛрдЬрд┐рдд рдХрд┐рдпрд╛ рдерд╛ рдФрд░ рд╡рд░реНрддрдорд╛рди рдореЗрдВ рдЗрд╕ рддрд░рд╣ рдХреЗ рдПрдХ рдСрдирд▓рд╛рдЗрди рдХреЛрд░реНрд╕ рдкрд░ рдХрд╛рдо рдХрд░ рд░рд╣рд╛ рд╣реИ (рд░рдЬрд┐рд╕реНрдЯрд░ рдЯреНрд░рд╛рдВрд╕рдлрд░ + рдиреНрдпреВрд░рд▓ рдиреЗрдЯрд╡рд░реНрдХ рдХреЗ рд╕реНрддрд░ рдкрд░ рд╣рд╛рд░реНрдбрд╡реЗрдпрд░ рдбрд┐рдЬрд╛рдЗрди рдХрд░рдирд╛) рдореЗрдВ рд░реБрдЪрд┐ рд╣реИред рдЙрдиреНрдирдд рдЫрд╛рддреНрд░реЛрдВ рдХреЗ рд▓рд┐рдП рд▓рд╛рдЗрдЯ рдХреЛрд░реНрд╕ред рдпрд╣рд╛рдБ рдЪрд╛рд░реНрд▓реНрд╕ рдФрд░ рдореИрдВ рдЕрдкрдиреЗ рдХрд╛рд░реНрдпрд╛рд▓рдп рдореЗрдВ рд╣реИрдВ:



2) рдУрд▓рдВрдкрд┐рдХ рдХреЗ рд▓рд┐рдП рдЖрдзрд╛рд░ рдПрдирдЯреАрдЖрдИ рдУрд▓рдВрдкрд┐рдХ рдореЗрдВ рд░реБрдЪрд┐ рд╣реЛ рд╕рдХрддреА рд╣реИ, рдЬрд┐рд╕рдХреЗ рд╕рд╛рде рдореИрдВрдиреЗ рдорд╛рд╕реНрдХреЛ рдореЗрдВ рдХреБрдЫ рд╣рдлреНрддреЗ рдкрд╣рд▓реЗ рдЗрд╕ рдореБрджреНрджреЗ рдХреЛ рдЙрдард╛рдпрд╛ рдерд╛ред рдЗрд╕ рддрд░рд╣ рдХреЗ рдПрдХ рдЙрджрд╛рд╣рд░рдг рдХреЗ рд▓рд┐рдП, рдУрд▓рд┐рдВрдкрд┐рдпрд╛рдбреНрд╕ рдореЗрдВ рднрд╛рдЧ рд▓реЗрдиреЗ рд╡рд╛рд▓реЗ рд╡рд┐рднрд┐рдиреНрди рд╕рдХреНрд░рд┐рдпрдг рдХрд╛рд░реНрдпреЛрдВ рдХреЗ рд▓рд┐рдП рдПрдХ рд╣рд╛рд░реНрдбрд╡реЗрдпрд░ рдЬреЛрдбрд╝ рд╕рдХрддреЗ рд╣реИрдВред рдпрд╣рд╛рдБ NTI рдУрд▓рдВрдкрд┐рдХ рдХреЗ рд╕рд╣рдпреЛрдЧреА рд╣реИрдВ:



рдЗрд╕рд▓рд┐рдП рдпрджрд┐ рджрд╢рд╛ рдЗрд╕реЗ рд╡рд┐рдХрд╕рд┐рдд рдХрд░рддреА рд╣реИ, рддреЛ рд╡рд╣ рд╕реИрджреНрдзрд╛рдВрддрд┐рдХ рд░реВрдк рд╕реЗ рдЕрдкрдиреЗ рд╡рд░реНрдгрд┐рдд рддреНрд╡рд░рдХ рдХреЛ RUSNANO рдФрд░ NTI рдУрд▓рдВрдкрд┐рдпрд╛рдб рджреЛрдиреЛрдВ рдореЗрдВ рдкреЗрд╢ рдХрд░ рд╕рдХрддреА рд╣реИред рдореБрдЭреЗ рд▓рдЧрддрд╛ рд╣реИ рдХрд┐ рдпрд╣ рдЙрд╕рдХреЗ рд╕реНрдХреВрд▓ рдХреЗ рдкреНрд░рд╢рд╛рд╕рди рдХреЗ рд▓рд┐рдП рдлрд╛рдпрджреЗрдордВрдж рд╣реЛрдЧрд╛ - рдЗрд╕реЗ рдЯреАрд╡реА рдкрд░ рджрд┐рдЦрд╛рдпрд╛ рдЬрд╛ рд╕рдХрддрд╛ рд╣реИ рдпрд╛ рд╕рд╛рдорд╛рдиреНрдп рд░реВрдк рд╕реЗ рдЗрдВрдЯреЗрд▓ рдПрдлрдкреАрдЬреАрдП рдкреНрд░рддрд┐рдпреЛрдЧрд┐рддрд╛ рдореЗрдВ рднреЗрдЬрд╛ рдЬрд╛ рд╕рдХрддрд╛ рд╣реИред рдпрд╣рд╛рдБ рд╕рд╛рдВрддрд╛ рдХреНрд▓рд╛рд░рд╛, рдХреИрд▓рд┐рдлрд╝реЛрд░реНрдирд┐рдпрд╛ рдореЗрдВ рдЗрдВрдЯреЗрд▓ FPGA рдкреНрд░рддрд┐рдпреЛрдЧрд┐рддрд╛ рдХреЗ рдлрд╛рдЗрдирд▓ рдореЗрдВ рд╕реЗрдВрдЯ рдкреАрдЯрд░реНрд╕рдмрд░реНрдЧ рдХреЗ рд░реВрд╕рд┐рдпреЛрдВ рдХреЗ рдЬреЛрдбрд╝реЗ рд╣реИрдВ:



рдЕрдм рдмрд╛рдд рдХрд░рддреЗ рд╣реИрдВ рдкреНрд░реЛрдЬреЗрдХреНрдЯ рдХреЗ рддрдХрдиреАрдХреА рдкрдХреНрд╖ рдХреАред рд╕рд┐рд╕реНрдЯреЛрд▓рд┐рдХ рджреНрд░рд╡реНрдпрдорд╛рди рддреНрд╡рд░рдХ рдХреЗ рд╡рд┐рдЪрд╛рд░ рдХрд╛ рд╡рд░реНрдгрди рдЦрдмрд░рд╛ рд╡реНрдпрд╛рдЪреЗрд╕реНрд▓рд╛рд╡ рдХреЗ рд╕рдВрдкрд╛рджрдХ рдЧреЛрд▓реНрд╡рд╛рдиреЛрд╡ SLY_G рдХреЗ рд▓реЗрдЦ рдореЗрдВ рдХрд┐рдпрд╛ рдЧрдпрд╛ рд╣реИред TPU рдЧрд╣рд░реА рд╢рд┐рдХреНрд╖рд╛ рдХреЗ рд▓рд┐рдП рдЗрддрдиреЗ рдЙрдкрдпреБрдХреНрдд рдХреНрдпреЛрдВ рд╣реИрдВ?

рдпрд╣ рд╡рд╣реА рд╣реИ рдЬреЛ рдбреЗрдЯрд╛рдлреНрд▓реЛ рдиреНрдпреВрд░рд▓ рдиреЗрдЯрд╡рд░реНрдХ рдЧреНрд░рд╛рдл рдЖрд╕рд╛рди рдкрд╣рдЪрд╛рди рдХреЗ рд▓рд┐рдП рджрд┐рдЦрддрд╛ рд╣реИ:



рдПрдХ рдЖрджрд┐рдо рдХрдореНрдкреНрдпреВрдЯреЗрд╢рдирд▓ рддрддреНрд╡ рдЬреЛ рдЧреБрдгрди рдФрд░ рдкрд░рд┐рд╡рд░реНрдзрди рдХрд░рддрд╛ рд╣реИ:



рдЗрд╕ рддрд░рд╣ рдХреЗ рддрддреНрд╡реЛрдВ рдХреА рдПрдХ рджреГрдврд╝рддрд╛ рд╕реЗ рдкрд╛рдЗрдк рд▓рд╛рдЗрди рд╕рдВрд░рдЪрдирд╛, рдореИрдЯреНрд░рд┐рдХреНрд╕ рдЧреБрдгрди рдХреЗ рд▓рд┐рдП рдпрд╣ рд╕рд┐рд╕реНрдЯреЛрд▓рд┐рдХ рд╕рд░рдгреА рд╣реИ:



рдЗрдВрдЯрд░рдиреЗрдЯ рдкрд░ рд╡реЗрд░рд┐рд▓реЛрдЧ рдФрд░ рд╡реАрдПрдЪрдбреАрдПрд▓ рдкрд░ рдПрдХ рд╕рд┐рд╕реНрдЯреЛрд▓рд┐рдХ рд╕рд░рдгреА рдХреЗ рдХрд╛рд░реНрдпрд╛рдиреНрд╡рдпрди рдХреЗ рд╕рд╛рде рдХреЛрдб рдХрд╛ рдПрдХ рдЧреБрдЪреНрдЫрд╛ рд╣реИ, рдЙрджрд╛рд╣рд░рдг рдХреЗ рд▓рд┐рдП, рдХреЛрдб рдЗрд╕ рдмреНрд▓реЙрдЧ рдкреЛрд╕реНрдЯ рдХреЗ рддрд╣рдд рд╣реИ :



module top(clk,reset,a1,a2,a3,b1,b2,b3,c1,c2,c3,c4,c5,c6,c7,c8,c9); parameter data_size=8; input wire clk,reset; input wire [data_size-1:0] a1,a2,a3,b1,b2,b3; output wire [2*data_size:0] c1,c2,c3,c4,c5,c6,c7,c8,c9; wire [data_size-1:0] a12,a23,a45,a56,a78,a89,b14,b25,b36,b47,b58,b69; pe pe1 (.clk(clk), .reset(reset), .in_a(a1), .in_b(b1), .out_a(a12), .out_b(b14), .out_c(c1)); pe pe2 (.clk(clk), .reset(reset), .in_a(a12), .in_b(b2), .out_a(a23), .out_b(b25), .out_c(c2)); pe pe3 (.clk(clk), .reset(reset), .in_a(a23), .in_b(b3), .out_a(), .out_b(b36), .out_c(c3)); pe pe4 (.clk(clk), .reset(reset), .in_a(a2), .in_b(b14), .out_a(a45), .out_b(b47), .out_c(c4)); pe pe5 (.clk(clk), .reset(reset), .in_a(a45), .in_b(b25), .out_a(a56), .out_b(b58), .out_c(c5)); pe pe6 (.clk(clk), .reset(reset), .in_a(a56), .in_b(b36), .out_a(), .out_b(b69), .out_c(c6)); pe pe7 (.clk(clk), .reset(reset), .in_a(a3), .in_b(b47), .out_a(a78), .out_b(), .out_c(c7)); pe pe8 (.clk(clk), .reset(reset), .in_a(a78), .in_b(b58), .out_a(a89), .out_b(), .out_c(c8)); pe pe9 (.clk(clk), .reset(reset), .in_a(a89), .in_b(b69), .out_a(), .out_b(), .out_c(c9)); endmodule module pe(clk,reset,in_a,in_b,out_a,out_b,out_c); parameter data_size=8; input wire reset,clk; input wire [data_size-1:0] in_a,in_b; output reg [2*data_size:0] out_c; output reg [data_size-1:0] out_a,out_b; always @(posedge clk)begin if(reset) begin out_a<=0; out_b<=0; out_c<=0; end else begin out_c<=out_c+in_a*in_b; out_a<=in_a; out_b<=in_b; end end endmodule 

рдореИрдВ рдзреНрдпрд╛рди рджреЗрддрд╛ рд╣реВрдВ рдХрд┐ рдпрд╣ рдХреЛрдб рдЕрдиреБрдХреВрд▓рд┐рдд рдирд╣реАрдВ рд╣реИ рдФрд░ рдЖрдо рддреМрд░ рдкрд░ рдЕрдирд╛рдбрд╝реА (рдФрд░ рдпрд╣рд╛рдВ рддрдХ тАЛтАЛрдХрд┐ рдЕрд╡реНрдпрд╡рд╕рд╛рдпрд┐рдХ рд░реВрдк рд╕реЗ рд▓рд┐рдЦрд╛ рдЧрдпрд╛ рд╣реИ - рдкреЛрд╕реНрдЯ рдореЗрдВ рд╕реНрд░реЛрдд @ (posedge clk) рдореЗрдВ рдмреНрд▓реЙрдХ рдЕрд╕рд╛рдЗрдирдореЗрдВрдЯ рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░рддрд╛ рд╣реИ - рдореИрдВрдиреЗ рдЗрд╕реЗ рдареАрдХ рдХрд┐рдпрд╛)ред рдЙрджрд╛рд╣рд░рдг рдХреЗ рд▓рд┐рдП рджрд╢рд╛ рдЕрдзрд┐рдХ рд╕реБрдВрджрд░ рдХреЛрдб рдХреЗ рд▓рд┐рдП рд╡реЗрд░рд┐рд▓реЙрдЧ рдЬреЗрдирд░реЗрдЯ рдирд┐рд░реНрдорд╛рдг рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░ рд╕рдХрддреА рд╣реИред

рддрдВрддреНрд░рд┐рдХрд╛ рдиреЗрдЯрд╡рд░реНрдХ рдХреЗ рджреЛ рдЪрд░рдо рдХрд╛рд░реНрдпрд╛рдиреНрд╡рдпрди (рдкреНрд░реЛрд╕реЗрд╕рд░ рдкрд░ рдФрд░ рд╕рд┐рд╕реНрдЯреЛрд▓рд┐рдХ рд╕рд░рдгреА рдкрд░) рдХреЗ рдЕрд▓рд╛рд╡рд╛, рджрд╢рд╛ рдЕрдиреНрдп рд╡рд┐рдХрд▓реНрдкреЛрдВ рдкрд░ рд╡рд┐рдЪрд╛рд░ рдХрд░ рд╕рдХрддреА рд╣реИ рдЬреЛ рдкреНрд░реЛрд╕реЗрд╕рд░ рдХреА рддреБрд▓рдирд╛ рдореЗрдВ рддреЗрдЬрд╝ рд╣реИрдВ, рд▓реЗрдХрд┐рди рд╕рд┐рд╕реНрдЯреЛрд▓рд┐рдХ рд╕рд░рдгреА рдХреА рддрд░рд╣ рдЧреБрдгрд╛ рд╕рдВрдЪрд╛рд▓рди рдХреЗ рд░реВрдк рдореЗрдВ рдкреНрд░рдЪрдВрдб рдирд╣реАрдВред рд╕рдЪ рд╣реИ, рдпрд╣ рдЕрдзрд┐рдХ рд╕рдВрднрд╛рд╡рдирд╛ рд╕реНрдХреВрд▓реА рдмрдЪреНрдЪреЛрдВ рдХреЗ рд▓рд┐рдП рдирд╣реАрдВ рд╣реИ, рд▓реЗрдХрд┐рди рдЫрд╛рддреНрд░реЛрдВ рдХреЗ рд▓рд┐рдП рд╣реИред

рдПрдХ рд╡рд┐рдХрд▓реНрдк рдПрдХ рдирд┐рд╖реНрдкрд╛рджрди рдЙрдкрдХрд░рдг рд╣реИ, рдЬреЛ рдмрдбрд╝реА рд╕рдВрдЦреНрдпрд╛ рдореЗрдВ рдлрд╝рдВрдХреНрд╢рди рдмреНрд▓реЙрдХ рд╕рдорд╛рдирд╛рдВрддрд░ рдореЗрдВ рдХрд╛рдо рдХрд░рддрд╛ рд╣реИ, рдЬреИрд╕рд╛ рдХрд┐ рдЖрдЙрдЯ-рдСрдл-рдСрд░реНрдбрд░ рдкреНрд░реЛрд╕реЗрд╕рд░ рдореЗрдВ:



рдПрдХ рдЕрдиреНрдп рд╡рд┐рдХрд▓реНрдк рддрдерд╛рдХрдерд┐рдд рдореЛрдЯреЗ рдЕрдирд╛рдЬ рдХреЛ рдкреБрди: рдЙрдкрдпреЛрдЧ рдХрд░рдиреЗ рдпреЛрдЧреНрдп рд╕рд░рдгреА рд╣реИ - рдЕрд░реНрдз-рдкреНрд░реЛрд╕реЗрд╕рд░ рддрддреНрд╡реЛрдВ рдХрд╛ рдПрдХ рдореИрдЯреНрд░рд┐рдХреНрд╕, рдЬрд┐рд╕рдореЗрдВ рд╕реЗ рдкреНрд░рддреНрдпреЗрдХ рдХрд╛ рдПрдХ рдЫреЛрдЯрд╛ рдХрд╛рд░реНрдпрдХреНрд░рдо рд╣реИред рдпреЗ рдкреНрд░реЛрд╕реЗрд╕рд░ рддрддреНрд╡ рдЖрджрд░реНрд╢ рд░реВрдк рд╕реЗ FPGA / FPGA рдХреЛрд╢рд┐рдХрд╛рдУрдВ рдХреЗ рд╕рдорд╛рди рд╣реИрдВ, рд▓реЗрдХрд┐рди рд╡реНрдпрдХреНрддрд┐рдЧрдд рд╕рдВрдХреЗрддреЛрдВ рдХреЗ рд╕рд╛рде рдХрд╛рдо рдирд╣реАрдВ рдХрд░рддреЗ рд╣реИрдВ, рд▓реЗрдХрд┐рди рдмрд╕реЛрдВ рдФрд░ рд░рдЬрд┐рд╕реНрдЯрд░реЛрдВ рдкрд░ рдмрд┐рдЯ / рд╕рдВрдЦреНрдпрд╛рдУрдВ рдХреЗ рд╕рдореВрд╣реЛрдВ рдХреЗ рд╕рд╛рде - рд╣рд╛рд░реНрдбрд╡реЗрдпрд░ AI рдореЗрдВ рдПрдХ рдкреНрд░рдореБрдЦ рдЦрд┐рд▓рд╛рдбрд╝реА рдХреЗ рдЬрдиреНрдо рд╕реЗ рд▓рд╛рдЗрд╡ рд░рд┐рдкреЛрд░реНрдЯ рджреЗрдЦреЗрдВ , рдЬреЛ рд╕реЗрдВрд╕рд░ рдХреЛ рдЧрддрд┐ рджреЗрддрд╛ рд╣реИ рдФрд░ NVidia рдХреЗ рд╕рд╛рде рдкреНрд░рддрд┐рд╕реНрдкрд░реНрдзрд╛ рдХрд░рддрд╛ рд╣реИ " ред

рдЕрдм рджрд╢рд╛ рд╕реЗ рдореВрд▓ рдкрддреНрд░:
рд╢реБрдн рджрд┐рди, рдпреВрд░реАред

2017 рдореЗрдВ, рдореИрдВрдиреЗ рдЖрдкрдХреА рдХрд╛рд░реНрдпрд╢рд╛рд▓рд╛ рдореЗрдВ LSHUP рдореЗрдВ рдЖрдкрдХреЗ рд╕реНрдХреВрд▓ рдореЗрдВ рдЕрдзреНрдпрдпрди рдХрд┐рдпрд╛ рдФрд░ рдЕрдХреНрдЯреВрдмрд░ 2017 рдореЗрдВ рдореИрдВрдиреЗ рдЙрд╕реА рд╡рд░реНрд╖ рдЕрдХреНрдЯреВрдмрд░ рдореЗрдВ рдЯреЙрдореНрд╕реНрдХ рдореЗрдВ рдПрдХ рд╕рдореНрдореЗрд▓рди рдореЗрдВ рднрд╛рдЧ рд▓рд┐рдпрд╛ рдЬрд┐рд╕рдореЗрдВ SchooolMIPS рдкреНрд░реЛрд╕реЗрд╕рд░ рдореЗрдВ рдЧреБрдгрди рдЗрдХрд╛рдИ рдХреЛ рдПрдореНрдмреЗрдб рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рд╕рдорд░реНрдкрд┐рдд рдерд╛ред

рдореИрдВ рдЕрдм рдпрд╣ рдХрд╛рдо рдЬрд╛рд░реА рд░рдЦрдирд╛ рдЪрд╛рд╣реВрдВрдЧрд╛ред рдлрд┐рд▓рд╣рд╛рд▓, рдореИрдВ рдЗрд╕ рд╡рд┐рд╖рдп рдХреЛ рдПрдХ рдЫреЛрдЯреЗ рд╢реЛрдз рдХреЗ рд░реВрдк рдореЗрдВ рд▓реЗрдиреЗ рдХреЗ рд▓рд┐рдП рд╕реНрдХреВрд▓ рдореЗрдВ рдЕрдиреБрдорддрд┐ рдкреНрд░рд╛рдкреНрдд рдХрд░рдиреЗ рдореЗрдВ рдХрд╛рдордпрд╛рдм рд░рд╣рд╛ред рдХреНрдпрд╛ рдЖрдкрдХреЗ рдкрд╛рд╕ рдЗрд╕ рдХрд╛рдо рдХреА рдирд┐рд░рдВрддрд░рддрд╛ рдХреЗ рд╕рд╛рде рдореЗрд░реА рдорджрдж рдХрд░рдиреЗ рдХрд╛ рдЕрд╡рд╕рд░ рд╣реИ?

рдкреБрдирд╢реНрдЪ рдЪреВрдВрдХрд┐ рдХрд╛рд░реНрдп рдПрдХ рд╡рд┐рд╢рд┐рд╖реНрдЯ рдкреНрд░рд╛рд░реВрдк рдореЗрдВ рдХрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИ, рдПрдХ рдкрд░рд┐рдЪрдп рд▓рд┐рдЦрдирд╛ рдФрд░ рд╡рд┐рд╖рдп рдХреА рд╕рд╛рд╣рд┐рддреНрдп рд╕рдореАрдХреНрд╖рд╛ рдХреА рдЖрд╡рд╢реНрдпрдХрддрд╛ рд╣реЛрддреА рд╣реИред рдХреГрдкрдпрд╛ рдЙрди рд╕реНрд░реЛрддреЛрдВ рд╕реЗ рд╕рд▓рд╛рд╣ рд▓реЗрдВ рдЬрд┐рдирд╕реЗ рдЖрдк рдЗрд╕ рд╡рд┐рд╖рдп рдХреЗ рд╡рд┐рдХрд╛рд╕ рдХреЗ рдЗрддрд┐рд╣рд╛рд╕, рд╡рд╛рд╕реНрддреБрд╢рд┐рд▓реНрдк рджрд░реНрд╢рди, рдЖрджрд┐ рдкрд░ рдЬрд╛рдирдХрд╛рд░реА рд▓реЗ рд╕рдХрддреЗ рд╣реИрдВ, рдпрджрд┐ рдЖрдкрдХреЗ рдкрд╛рд╕ рдРрд╕реЗ рд╕рдВрд╕рд╛рдзрди рд╣реИрдВред

рдЗрд╕рдХреЗ рдЕрд▓рд╛рд╡рд╛, рдлрд┐рд▓рд╣рд╛рд▓ рдореИрдВ рдПрдХ рдмреЛрд░реНрдбрд┐рдВрдЧ рд╕реНрдХреВрд▓ рдореЗрдВ рдореЙрд╕реНрдХреЛ рдореЗрдВ рд░рд╣рддрд╛ рд╣реВрдВ, рдмрд╛рддрдЪреАрдд рдХрд░рдирд╛ рдЖрд╕рд╛рди рд╣реЛ рд╕рдХрддрд╛ рд╣реИред

рдирд┐рд╖реНрдард╛ рд╕реЗ,

рджрд░рд┐рдпрд╛ рдХреНрд░рд┐рд╡реЛрд░реБрдЪрдХреЛред
рджрд╢рд╛ рдиреЗ рдбреЗрд╡рд┐рдб рд╣реИрд░рд┐рд╕ рдФрд░ рд╕рд╛рд░рд╛ рд╣реИрд░рд┐рд╕ рджреНрд╡рд╛рд░рд╛ рдореЗрд░реА рдФрд░ рдкреБрд╕реНрддрдХ "рдбрд┐рдЬрд┐рдЯрд▓ рд╕рд░реНрдХрд┐рдЯрд░реА рдПрдВрдб рдХрдВрдкреНрдпреВрдЯрд░ рдЖрд░реНрдХрд┐рдЯреЗрдХреНрдЪрд░" рдХреА рдорджрдж рд╕реЗ рд╡реЗрд░рд┐рд▓реЙрдЧ рдФрд░ рд░рдЬрд┐рд╕реНрдЯрд░-рд╕реНрддрд░реАрдп рдбрд┐рдЬрд╝рд╛рдЗрди рд╕рд┐рдЦрд╛рдпрд╛ред рд╣рд╛рд▓рд╛рдБрдХрд┐, рдпрджрд┐ рдЖрдк рдПрдХ рд╕реНрдХреВрд▓реА рдЫрд╛рддреНрд░ / рдЫрд╛рддреНрд░рд╛ рд╣реИрдВ рдФрд░ рдмрд╣реБрдд рд╕рд░рд▓ рд╕реНрддрд░ рдкрд░ рдмреБрдирд┐рдпрд╛рджреА рдЕрд╡рдзрд╛рд░рдгрд╛рдУрдВ рдХреЛ рд╕рдордЭрдирд╛ рдЪрд╛рд╣рддреЗ рд╣реИрдВ, рддреЛ рдЖрдкрдХреЗ рд▓рд┐рдП рдкреНрд░рдХрд╛рд╢рди рдЧреГрд╣ DMK-рдкреНрд░реЗрд╕ рдиреЗ Amano Hideharu рдФрд░ Meguro Koji рджреНрд╡рд╛рд░рд╛ рдмрдирд╛рдП рдЧрдП рдбрд┐рдЬрд┐рдЯрд▓ рд╕рд░реНрдХрд┐рдЯ рдХреЗ рдмрд╛рд░реЗ рдореЗрдВ рдЬрд╛рдкрд╛рдиреА рдордВрдЧрд╛ 2013 рдХрд╛ рд░реВрд╕реА рдЕрдиреБрд╡рд╛рдж рдЬрд╛рд░реА рдХрд┐рдпрд╛ рд╣реИред рдкреНрд░рд╕реНрддреБрддрд┐ рдХреЗ рддреБрдЪреНрдЫ рд░реВрдк рдХреЗ рдмрд╛рд╡рдЬреВрдж, рдкреБрд╕реНрддрдХ рддрд╛рд░реНрдХрд┐рдХ рддрддреНрд╡реЛрдВ рдФрд░ рдбреА-рдЯреНрд░рд┐рдЧрд░реНрд╕ рдХреЛ рд╕рд╣реА рдврдВрдЧ рд╕реЗ рдкреЗрд╢ рдХрд░рддреА рд╣реИ, рдФрд░ рдлрд┐рд░ рдЗрд╕реЗ FPGAs рдХреЗ рд▓рд┐рдП рдмрд╛рдВрдз рджреЗрддреА рд╣реИ :



рдпрд╣рд╛рдБ рдиреЛрд╡реЛрд╕рд┐рдмрд┐рд░реНрд╕реНрдХ рдХреНрд╖реЗрддреНрд░ рдореЗрдВ рдпрдВрдЧ рдкреНрд░реЛрдЧреНрд░рд╛рдорд░реНрд╕ рдХреЗ рд▓рд┐рдП рд╕рдорд░ рд╕реНрдХреВрд▓ рдХреНрдпрд╛ рджрд┐рдЦрддрд╛ рд╣реИ, рдЬрд╣рд╛рдВ рджрд╢рд╛ рдиреЗ рд╡реЗрд░рд┐рд▓реЙрдЧ, рдПрдлрдкреАрдЬреАрдПрдПрд╕, рдПрдХ рд░рдЬрд┐рд╕реНрдЯрд░ рдЯреНрд░рд╛рдВрд╕рдлрд░ рдбреЗрд╡рд▓рдкрдореЗрдВрдЯ рдореЗрдердбреЛрд▓реЙрдЬреА (рд░рдЬрд┐рд╕реНрдЯрд░ рдЯреНрд░рд╛рдВрд╕рдлрд░ рд▓реЗрд╡рд▓ - рдЖрд░рдЯреАрдПрд▓) рд╕реАрдЦрд╛:





рдФрд░ рдпрд╣рд╛рдБ рдПрдХ рдФрд░ рджрд╕рд╡реЗрдВ рдЧреНрд░реЗрдбрд░ рдЖрд░реНрд╕реЗрдиреА рдЪреЗрдЧреЛрдбреЗрд╡ рдХреЗ рд╕рд╛рде рдЯреЙрдореНрд╕реНрдХ рдореЗрдВ рд╕рдореНрдореЗрд▓рди рдореЗрдВ рджрд╢рд╛ рдХрд╛ рднрд╛рд╖рдг рд╣реИ:


рджрд╢рд╛ рдХреА рдореЗрд░реЗ рдФрд░ рд╕реНрдЯреИрдирд┐рд╕реНрд▓рд╛рд╡ рдЬрд╝реЗрд▓реНрдирд┐рдпреЛ рд╕реНрдкрд╛рд░реНрдлрд╝ рдХреЗ рд╕рд╛рде рдмрд╛рдд рдХрд░рдиреЗ рдХреЗ рдмрд╛рдж, FPGAs рдкрд░ рдХрд╛рд░реНрдпрд╛рдиреНрд╡рдпрди рдХреЗ рд▓рд┐рдП schoolMIPS рд╢реИрдХреНрд╖рд┐рдХ рдкреНрд░реЛрд╕реЗрд╕рд░ рдХреЛрд░ рдХреЗ рдореБрдЦреНрдп рдирд┐рд░реНрдорд╛рддрд╛:



SchoolMIPS рдкрд░рд┐рдпреЛрдЬрдирд╛ https://github.com/MIPSfpga/schoolMIPS рдкрд░ рдкреНрд░рд▓реЗрдЦрди рдХреЗ рд╕рд╛рде рд╣реИред рдЗрд╕ рдкреНрд░рд╢рд┐рдХреНрд╖рдг рдкреНрд░реЛрд╕реЗрд╕рд░ рдХреЛрд░ рдХреЗ рд╕рд░рд▓рддрдо рд╡рд┐рдиреНрдпрд╛рд╕ рдореЗрдВ, рд╡реЗрд░рд┐рд▓реЛрдЧ рдореЗрдВ рдХреЗрд╡рд▓ 300 рд▓рд╛рдЗрдиреЗрдВ рд╣реИрдВ, рдЬрдмрдХрд┐ рдордзреНрдпрдо рд╡рд░реНрдЧ рдХреЗ рдФрджреНрдпреЛрдЧрд┐рдХ рдПрдореНрдмреЗрдбреЗрдб рдХреЛрд░ рдореЗрдВ рд▓рдЧрднрдЧ 300 рд╣рдЬрд╛рд░ рд▓рд╛рдЗрдиреЗрдВ рд╣реИрдВред рдлрд┐рд░ рднреА, рджрд╢рд╛ рдпрд╣ рдорд╣рд╕реВрд╕ рдХрд░рдиреЗ рдореЗрдВ рд╕рдХреНрд╖рдо рдереА рдХрд┐ рдЙрджреНрдпреЛрдЧ рдореЗрдВ рдбрд┐рдЬрд╛рдЗрдирд░реЛрдВ рдХрд╛ рдХрд╛рдо рдХреИрд╕рд╛ рджрд┐рдЦрддрд╛ рд╣реИ, рдЬреЛ рдбрд┐рдХреЛрдбрд░ рдФрд░ рдирд┐рд╖реНрдкрд╛рджрд┐рдд рдбрд┐рд╡рд╛рдЗрд╕ рдХреЛ рдЙрд╕реА рддрд░рд╣ рд╕реЗ рдмрджрд▓рддреЗ рд╣реИрдВ рдЬрдм рд╡реЗ рдкреНрд░реЛрд╕реЗрд╕рд░ рдореЗрдВ рдирдП рдирд┐рд░реНрджреЗрд╢ рдЬреЛрдбрд╝рддреЗ рд╣реИрдВ:



рдЕрдВрдд рдореЗрдВ, рд╣рдо рд╕рдорд╛рд░рд╛ рд╡рд┐рд╢реНрд╡рд╡рд┐рджреНрдпрд╛рд▓рдп рдЗрд▓реНрдпрд╛ рдХреБрджреНрд░реАрд╡рддреНрд╕реЗрд╡ рдХреЗ рдбреАрди рдХреА рддрд╕реНрд╡реАрд░реЗрдВ рдкреНрд░рд╕реНрддреБрдд рдХрд░рддреЗ рд╣реИрдВ, рдЬреЛ рднрд╡рд┐рд╖реНрдп рдХреЗ рдЖрд╡реЗрджрдХреЛрдВ рдХреЗ рд▓рд┐рдП рдПрдлрдкреАрдЬреАрдП рдкреНрд░реЛрд╕реЗрд╕рд░ рдХреЗ рд╕рд╛рде рдПрдХ рдЧреНрд░реАрд╖реНрдордХрд╛рд▓реАрди рд╕реНрдХреВрд▓ рдФрд░ рдУрд▓рдВрдкрд┐рдпрд╛рдб рдмрдирд╛рдиреЗ рдореЗрдВ рд░реБрдЪрд┐ рд░рдЦрддреЗ рд╣реИрдВ:



рдФрд░ рдЬрд╝реЗрд▓реЗрдиреЛрдЧреНрд░рд╛рдб рдПрдордЖрдИрдИрдЯреА рдХреЗ рдХрд░реНрдордЪрд╛рд░рд┐рдпреЛрдВ рдХреА рдПрдХ рддрд╕реНрд╡реАрд░ рдЬреЛ рдкрд╣рд▓реЗ рд╕реЗ рд╣реА рдЗрд╕ рддрд░рд╣ рдХреЗ рдЧреНрд░реАрд╖реНрдордХрд╛рд▓реАрди рд╕реНрдХреВрд▓ рдХреА рдпреЛрдЬрдирд╛ рдмрдирд╛ рд░рд╣реЗ рд╣реИрдВ:



RUSNANO рдХреА рд╕рд╛рдордЧреНрд░реА, рдФрд░ NTI рдУрд▓рдВрдкрд┐рдХ рдХреА рд╕рдВрднрд╛рд╡рд┐рдд рд╕рд╛рдордЧреНрд░реА рдФрд░ рд╕рд╛рде рд╣реА HSE MIEM, рдорд╛рд╕реНрдХреЛ рд╕реНрдЯреЗрдЯ рдпреВрдирд┐рд╡рд░реНрд╕рд┐рдЯреА рдФрд░ рдХрдЬрд╝рд╛рди рдЗрдиреНрдиреЛрдкреЛрд▓рд┐рд╕ рдХреЗ рдХрд╛рд░реНрдпрдХреНрд░рдо рдореЗрдВ FPGAs рдФрд░ рдорд╛рдЗрдХреНрд░реЛрдЖрд░реНрдХрд┐рдЯреЗрдХреНрдЪрд░ рдХреЗ рдХрд╛рд░реНрдпрд╛рдиреНрд╡рдпрди рдореЗрдВ рдкрд┐рдЫрд▓реЗ рдХреБрдЫ рд╡рд░реНрд╖реЛрдВ рдореЗрдВ рдЬреЛ рдЙрдкрд▓рдмреНрдзрд┐рдпрд╛рдВ рд╣рд╛рд╕рд┐рд▓ рдХреА рдЧрдИ рд╣реИрдВ , рд╡реЗ рдПрдХ рдЬрдЧрд╣ рдФрд░ рджреВрд╕рд░реА рдЬрдЧрд╣ рдЕрдЪреНрдЫреА рддрд░рд╣ рд╕реЗ рд╣реЛрдиреА рдЪрд╛рд╣рд┐рдПред

Source: https://habr.com/ru/post/hi432378/


All Articles