рд╣рдордиреЗ рдХреНрд░рд╛рд╕реНрдиреЛрдпрд╛рд░реНрд╕реНрдХ рдореЗрдВ рд╡рд┐рдВрдЯрд░ рд╕реНрдХреВрд▓ "рдбрд┐рдЬрд┐рдЯрд▓ рдПрдВрдмреЗрдбреЗрдб рд╕рд┐рд╕реНрдЯрдо" рдХреИрд╕реЗ рдмрд┐рддрд╛рдпрд╛

рдЫрд╡рд┐

7 рд╕реЗ 9 рдирд╡рдВрдмрд░ рддрдХ, рд╕рд╛рдЗрдмреЗрд░рд┐рдпрд╛рдИ рд╕рдВрдШреАрдп рд╡рд┐рд╢реНрд╡рд╡рд┐рджреНрдпрд╛рд▓рдп рдХреЗ рдЕрдВрддрд░рд┐рдХреНрд╖ рдФрд░ рд╕реВрдЪрдирд╛ рдкреНрд░реМрджреНрдпреЛрдЧрд┐рдХреА рд╕рдВрд╕реНрдерд╛рди рдореЗрдВ рдкрд╣рд▓рд╛ рд╢реАрддрдХрд╛рд▓реАрди рд╕реНрдХреВрд▓ "рдбрд┐рдЬрд┐рдЯрд▓ рдПрдВрдмреЗрдбреЗрдб рд╕рд┐рд╕реНрдЯрдо" рдЖрдпреЛрдЬрд┐рдд рдХрд┐рдпрд╛ рдЧрдпрд╛ рдерд╛ред рд╕реНрдХреВрд▓ рдХреЗ рдкреНрд░рддрд┐рднрд╛рдЧреА рд╕рд╛рдЗрдмреЗрд░рд┐рдпрд╛рдИ рд╕рдВрдШреАрдп рд╡рд┐рд╢реНрд╡рд╡рд┐рджреНрдпрд╛рд▓рдп рдХреЗ рдЫрд╛рддреНрд░ рдФрд░ рд╕реНрдирд╛рддрдХ рдЫрд╛рддреНрд░ рдереЗред

рдореЗрд░рд╛ рдирд╛рдо рдЕрд▓реАрдирд╛ рд╣реИ, рдФрд░ рдореИрдВ рдХрдбрд╝реА рдореЗрд╣рдирдд рдХрд░рддрд╛ рд╣реВрдВ, рдЕрдВрддрд░рд┐рдХреНрд╖ рдкреНрд░реМрджреНрдпреЛрдЧрд┐рдХреА рдХреЗ рд▓рд┐рдП рдПрдореНрдмреЗрдбреЗрдб рдорд┐рд╢рди-рдорд╣рддреНрд╡рдкреВрд░реНрдг рдирд┐рдпрдВрддреНрд░рдг рдкреНрд░рдгрд╛рд▓реА рд╡рд┐рдХрд╕рд┐рдд рдХрд░ рд░рд╣рд╛ рд╣реВрдВред рдореИрдВ рд╕рд╛рдЗрдмреЗрд░рд┐рдпрд╛рдИ рд╕рдВрдШреАрдп рд╡рд┐рд╢реНрд╡рд╡рд┐рджреНрдпрд╛рд▓рдп рдореЗрдВ рдХрдВрдкреНрдпреВрдЯрд░ рдЗрдВрдЬреАрдирд┐рдпрд░рд┐рдВрдЧ рд╡рд┐рднрд╛рдЧ рдХрд╛ рд╕реНрдирд╛рддрдХ рдЫрд╛рддреНрд░ рд╣реВрдВред рдореБрдЭреЗ рдирдИ рдЪреАрдЬреЗрдВ рд╕реАрдЦрдирд╛ рдмрд╣реБрдд рдкрд╕рдВрдж рд╣реИ, рдЗрд╕рд▓рд┐рдП, рдпрд╣ рдЬрд╛рдирдХрд░ рдХрд┐ рдбрд┐рдЬрд┐рдЯрд▓ рд╕рд░реНрдХрд┐рдЯрд░реА, рд╡рд╛рд╕реНрддреБрдХрд▓рд╛ рдФрд░ рд╡реЗрд░рд┐рд▓реЙрдЧ рдХреЗ рдЙрдкрдпреЛрдЧ рдХреА рдмреБрдирд┐рдпрд╛рджреА рдмрд╛рддреЛрдВ рдкрд░ рдПрдХ рд╕реНрдХреВрд▓ 2 рд╕реЗ 5 рдЕрдХреНрдЯреВрдмрд░ рддрдХ рдиреЛрд╡реЛрд╕рд┐рдмрд┐рд░реНрд╕реНрдХ рдореЗрдВ рдЖрдпреЛрдЬрд┐рдд рдХрд┐рдпрд╛ рдЬрд╛рдПрдЧрд╛, рдореИрдВрдиреЗ рддреБрд░рдВрдд рд╡рд╣рд╛рдВ рд╕рд╛рдЗрди рдЕрдк рдХрд┐рдпрд╛ред рдореЗрд░реЗ рд╕рд╛рде рджреЛ рд╕рд╣рдХрд░реНрдореА рд╡рд╣рд╛рдВ рдЧрдП - рдмреЛрд░рд┐рд╕ рдбреБрдбрдХрд┐рди рдФрд░ рджрд┐рдорд┐рддреНрд░реА рд╡реНрд▓рд╛рд╕реЛрд╡, рдЬреЛ рд╕реНрдХреВрд▓ рдореЗрдВ рд╕рд╣рд╛рдпрдХ рдереЗред рдХрд╛рд░реНрдпрдХреНрд░рдо рджрд┐рд▓рдЪрд╕реНрдк рдФрд░ рд╕рдореГрджреНрдз рдерд╛, рдореБрдЭреЗ рд╕реНрдХреВрд▓ рдЗрддрдирд╛ рдкрд╕рдВрдж рдЖрдпрд╛ рдХрд┐ рдЕрдВрдд рдореЗрдВ рдореИрдВрдиреЗ рд╣рдорд╛рд░реЗ рд╢рд┐рдХреНрд╖рдХ рд╕реНрдЯрд╛рдирд┐рд╕реНрд▓рд╛рд╡ рдЬрд╝реЗрд▓реНрдирд┐рдпреЛ ( рд╕реНрдкрд╛рд░рдл ) рд╕реЗ рдПрдХ рд╕рд╡рд╛рд▓ рдкреВрдЫрд╛ : рдХреНрд░рд╛рд╕реНрдиреЛрдпрд╛рд░реНрд╕реНрдХ рдореЗрдВ рдПрдХ рд╕рдорд╛рди рд╕реНрдХреВрд▓ рдХрд╛ рд╕рдВрдЪрд╛рд▓рди рдХреИрд╕реЗ рдХрд░реЗрдВ? рд╕реНрдЯрд╛рдирд┐рд╕реНрд▓рд╛рд╡ рдиреЗ рдмрд┐рдирд╛ рдХрд┐рд╕реА рд╣рд┐рдЪрдХрд┐рдЪрд╛рд╣рдЯ рдХреЗ рдЬрд╡рд╛рдм рджрд┐рдпрд╛ рдХрд┐ рд╣рдо рдЦреБрдж рдЗрд╕реЗ рд╡реНрдпрд╡рд╕реНрдерд┐рдд рдФрд░ рдмрд╛рд╣рд░ рд▓реЗ рдЬрд╛рдиреЗ рдореЗрдВ рд╕рдХреНрд╖рдо рд╣реЛрдВрдЧреЗред

рд╕реНрдХреВрд▓ рдХреЗ рд╕рдВрдЧрдарди рдХреЗ рджреМрд░рд╛рди, рд╣рдордиреЗ рдорд╣рд╕реВрд╕ рдХрд┐рдпрд╛ рдХрд┐ рд╕рд╛рдЗрдмреЗрд░рд┐рдпрд╛рдИ рд╕рдВрдШреАрдп рд╡рд┐рд╢реНрд╡рд╡рд┐рджреНрдпрд╛рд▓рдп рдФрд░ рдЙрджреНрдпрдо рдХреЗ рд▓рд┐рдП рдЬрд╣рд╛рдВ рд╣рдо рдХрд╛рдо рдХрд░ рд░рд╣реЗ рд╣реИрдВ, рдЗрд╕ рддрд░рд╣ рдХреА рд╕рдВрдпреБрдХреНрдд рдЧрддрд┐рд╡рд┐рдзрд┐рдпрд╛рдВ, рдПрдХ рдирдП рд▓реЗрдХрд┐рди рдореБрд╢реНрдХрд┐рд▓ рдХрд╛рдо рдирд╣реАрдВ рд╣реИред рд╕реНрдХреВрд▓ рдХрд╛ рдПрдХ "рдХреНрд░рд╛рд╕реНрдирд╛рдпрд╛рд░реНрд╕реНрдХ" рдирд╛рдо рд╣реИ - рдкрд╣рд▓рд╛ рдХреНрд╖реЗрддреНрд░реАрдп рд╢реАрддрдХрд╛рд▓реАрди рд╕реНрдХреВрд▓ "рдбрд┐рдЬрд┐рдЯрд▓ рдПрдВрдмреЗрдбреЗрдб рд╕рд┐рд╕реНрдЯрдо"ред

рджрд┐рди 1


рдЙрджреНрдШрд╛рдЯрди рдХреЗ рджрд┐рди, рдкреНрд░рд╕рд╛рд░рдг рдХрд╛ рдЕрд╡рд╕рд░ рдореЗрд░реЗ рд╕рд╛рдордиреЗ рдкреНрд░рд╕реНрддреБрдд рдХрд┐рдпрд╛ рдЧрдпрд╛ рдерд╛ред рдпрд╣рд╛рдБ рдореИрдВ рдкрд╣рд▓реЗ рдХреЗ рдмрд╛рд░реЗ рдореЗрдВ рдмрд╛рдд рдХреА рд╣реИ:

тАв рд╣рд╛рд░реНрдбрд╡реЗрдпрд░ рд╡рд┐рд╡рд░рдг рдХреЗ рд▓рд┐рдП рднрд╛рд╖рд╛ рдФрд░ рдЗрд╕рдХреА рдЖрд╡рд╢реНрдпрдХрддрд╛ рдХреНрдпреЛрдВ рд╣реИ
тАв FPGA рдХреНрдпрд╛ рд╣реИ
тАв рд╕рдВрдпреЛрдЬрди рддрд░реНрдХ рдХреА рдореВрд▓ рдмрд╛рддреЗрдВ
тАв рдореВрд▓ рддрд░реНрдХ рддрддреНрд╡ рдФрд░ рд╡реЗрд░рд┐рд▓реЛрдЧ рдкрд░ рдЙрдирдХрд╛ рд╡рд┐рд╡рд░рдг
тАв рдкрд░реАрдХреНрд╖рдг рд╡рд╛рддрд╛рд╡рд░рдг (рдЯреЗрд╕реНрдЯрдмреЗрдВрдЪ)
тАв рдПрдХ рд╕рд┐рдореНрдпреБрд▓реЗрдЯрд░ (рдореЙрдбрд▓рд┐рдо) рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░рдХреЗ рдХреЙрдореНрдмрд┐рдиреЗрд╢рди рд╕рд░реНрдХрд┐рдЯ рдХреЗ рд╕рдВрдЪрд╛рд▓рди рдХреА рдЬрд╛рдВрдЪ рдХрд░рдирд╛
тАв рд╕рд┐рдВрдереЗрд╕рд┐рд╕ рд╕рд░реНрдХрд┐рдЯ рдбрд┐рдЬрд╛рдЗрди, рдЗрдВрдЯреЗрд▓ рдХреНрд╡рд╛рд░реНрдЯрд╕ рдЬреАрдпреВрдЖрдИ
тАв FPGAs рдкрд░ рд▓рд╛рдЧреВ рд╣реЛрдиреЗ рдкрд░ рд╕рдВрдпреЛрдЬрди рдпреЛрдЬрдирд╛ рдХреЛ рдХрд┐рд╕рдХреЗ рд▓рд┐рдП рд╕рдВрд╢реНрд▓реЗрд╖рд┐рдд рдХрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИ?
тАв рдбрд┐рдмрдЧ рдмреЛрд░реНрдб рдХрд╛ рдкреНрд░реЛрдЧреНрд░рд╛рдорд┐рдВрдЧ
тАв рдбрд┐рдмрдЧ рдмреЛрд░реНрдб рдкрд░ рдкрд░рд┐рдпреЛрдЬрдирд╛ рдХрд╛ рд╕рддреНрдпрд╛рдкрди
тАв рдореЗрдХрдлрд╛рдЗрд▓ рдХреЗ рдмреБрдирд┐рдпрд╛рджреА рдврд╛рдВрдЪреЗ рдФрд░ рдЧреИрд░-рдкрд░рд┐рдпреЛрдЬрдирд╛ рдореЛрдб рдореЗрдВ рдХрд╛рдо рдХрд░рддреЗ рд╣реИрдВ
тАв рдорд▓реНрдЯреАрдкреНрд▓реЗрдХреНрд╕ рдФрд░ рд╡реЗрд░рд┐рд▓реЙрдЧ рдПрдЪрдбреАрдПрд▓ рдкрд░ рдЗрд╕рдХреЗ рд╡рд┐рд╡рд░рдг рдХреЗ рд▓рд┐рдП рддрд░реАрдХреЗ
тАв рдбреЗрдорд▓реНрдЯреАрдкреНрд▓реЗрдХреНрд╕рд░ рдФрд░ рд╡реЗрд░рд┐рд▓реЛрдЧ рдПрдЪрдбреАрдПрд▓ рдкрд░ рдЗрд╕рдХреЗ рд╡рд┐рд╡рд░рдг рдХреЗ рд▓рд┐рдП рддрд░реАрдХреЗ
тАв рдХреЗрд╕ рд╕реНрдЯрдбреА: 7-рд╕реЗрдЧрдореЗрдВрдЯ рд╕рдВрдХреЗрддрдХреЛрдВ рдХреЗ рд╕рд╛рде рдХрд╛рдо рдХрд░рдирд╛
тАв рд╡реЗрд░рд┐рд▓реЛрдЧ рдПрдЪрдбреАрдПрд▓ рдореЗрдВ рд╕рд╢рд░реНрдд рд╕рдВрдХрд▓рди

рдЫрд╡рд┐

рдкреВрд░реА рддрд░рд╣ рд╕реЗ рдЕрд▓рдЧ-рдЕрд▓рдЧ рдЫрд╛рддреНрд░реЛрдВ рдиреЗ рд╕реНрдХреВрд▓ рдХреЗ рдХрд╛рдо рдореЗрдВ рднрд╛рдЧ рд▓рд┐рдпрд╛, рд╕реНрдирд╛рддрдХ рдФрд░ рд╕реНрдирд╛рддрдХ рдФрд░ рд╕реНрдирд╛рддрдХ рдЫрд╛рддреНрд░ рдереЗред рдЗрд╕рд▓рд┐рдП, рдореВрд▓ рдмрд╛рддреЛрдВ рдкрд░ рд╡рд┐рд╢реЗрд╖ рд░реВрдк рд╕реЗ рдзреНрдпрд╛рди рджрд┐рдпрд╛ рдЧрдпрд╛ рдерд╛ред рд╕рдмрд╕реЗ рдкрд╣рд▓реЗ, рд╢реНрд░реЛрддрд╛рдУрдВ рдиреЗ рдкреНрд░рд╕реНрддреБрдд рд╕рд╛рдордЧреНрд░реА рдкрд░ "рд╣рдордиреЗ рдЗрд╕реЗ 3 рд╕реЗрдореЗрд╕реНрдЯрд░ рдХреЗ рд▓рд┐рдП рдХрд┐рдпрд╛ рдерд╛" рд╢рдмреНрджреЛрдВ рдХреЗ рд╕рд╛рде рдЯрд┐рдкреНрдкрдгреА рдХреА, "рдпрд╣рд╛рдВ рд╕рдм рдХреБрдЫ рд╕рд░рд▓ рд╣реИ", "рд╣рдо рдХреНрд╡рд╛рд░реНрдЯрд╕ рдХрд░ рд╕рдХрддреЗ рд╣реИрдВ" рдФрд░ "рд╕реНрд╡реИрдо, рд╣рдо рдЬрд╛рдирддреЗ рд╣реИрдВ" рдХреА рддрд░рд╣, рд▓реЗрдХрд┐рди рдкрд╣рд▓реЗ рд╡реНрдпрд╛рд╡рд╣рд╛рд░рд┐рдХ рдЕрд╕рд╛рдЗрдирдореЗрдВрдЯ рдкреНрд░рд╛рдкреНрдд рдХрд░рдиреЗ рдХреЗ рдмрд╛рдж рд▓реЛрдЧ рдЗрд╕рдореЗрдВ рд╢рд╛рдорд┐рд▓ рд╣реЛ рдЧрдП рдФрд░ рддрдм рд╕реЗ рдЯрд┐рдкреНрдкрдгрд┐рдпрд╛рдВ рдФрд░ рдкреНрд░рд╢реНрди рд╢реБрд░реВ рд╣реБрдПред рдорд╛рдорд▓реЗ рдореЗрдВред

рджрд┐рди реи


рджреВрд╕рд░реЗ рджрд┐рди, рдХрд╣рд╛рдиреАрдХрд╛рд░ рдмреЛрд░рд┐рд╕ рдбреБрдбрдХрд┐рди рдереЗ, рдЬреЛ рдПрдХ рд╕реЙрдлреНрдЯрд╡реЗрдпрд░ рдЗрдВрдЬреАрдирд┐рдпрд░ рдереЗ, рдЬреЛ FPGA рдФрд░ ASIC рдХреЗ рд▓рд┐рдП рд╡рд╛рд╕реНрддрд╡рд┐рдХ рдкреНрд░реЛрдЬреЗрдХреНрдЯ рд▓рд┐рдЦрддреЗ рд╣реИрдВред рдпрд╣рд╛рдБ рд╡рд╣ рдмрд╛рдд рдХрд░рддрд╛ рд╣реИ:

тАв рд╕рдВрдпреЛрдЬрди рд╕рд░реНрдХрд┐рдЯ рдФрд░ рд╕рдВрдХреЗрдд рдкреНрд░рд╕рд╛рд░ рд╕рдордп
тАв рдШрдбрд╝реА рдФрд░ рд╢реНрд░реГрдВрдЦрд▓рд╛ рд╕рд░реНрдХрд┐рдЯ
тАв рд╡реЗрд░рд┐рд▓реЛрдЧ рдПрдЪрдбреАрдПрд▓ рдореЗрдВ рд╡рд┐рднрд┐рдиреНрди рдкреНрд░рдХрд╛рд░ рдХреЗ рдЕрд╕рд╛рдЗрдирдореЗрдВрдЯ
тАв рдбреА-рдЯреНрд░рд┐рдЧрд░ рдФрд░ рд╡рд┐рднрд┐рдиреНрди рд░реВрдкреЛрдВ рдореЗрдВ рд╡реЗрд░рд┐рд▓реЙрдЧ рдкрд░ рдЗрд╕рдХрд╛ рдХрд╛рд░реНрдпрд╛рдиреНрд╡рдпрди: рдЕрддреБрд▓реНрдпрдХрд╛рд▓рд┐рдХ рдФрд░ рддреБрд▓реНрдпрдХрд╛рд▓рд┐рдХ рд░реАрд╕реЗрдЯ, рдЕрдиреБрдорддрд┐ рдкреЛрд░реНрдЯ рд▓рд┐рдЦреЗрдВ
тАв FPGA рдХреЗ рдЖрдзрд╛рд░ рдкрд░ рдХрд╛рд░реНрдпрд╛рдиреНрд╡рд┐рдд рдХрд░рддреЗ рд╕рдордп рдХрд┐рд╕ рдХреНрд░рдорд┐рдХ рдкрд░рд┐рдкрде рдХреЛ рд╕рдВрд╢реНрд▓реЗрд╖рд┐рдд рдХрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИ
тАв рд░рдЬрд┐рд╕реНрдЯрд░ рдФрд░ рдЗрд╕рдХреЗ рдХрд╛рд░реНрдпрд╛рдиреНрд╡рдпрди
тАв рдХрд╛рдЙрдВрдЯрд░ рдФрд░ рдЗрд╕рдХреЗ рдХрд╛рд░реНрдпрд╛рдиреНрд╡рдпрди
тАв рдЧрддрд┐рд╢реАрд▓ рдФрд░ рд╕реНрдерд┐рд░ рдЕрдиреБрд╢рд╛рд╕рди
тАв рдореЗрдЯрд╛рд╕реНрдЯреЗрдмрд┐рд▓рд┐рдЯреА рдФрд░ рд╕рд┐рдВрдХреНрд░рдирд╛рдЗрдЬрд╝рд░
тАв рдЕрд╡рд╛рдВрдЫрд┐рдд рдХреБрдВрдбреА, рдкрд░рд┐рдгрд╛рдо рдФрд░ рдмрдЪрдиреЗ рдХреЗ рддрд░реАрдХреЗ
тАв рдкрд░рд┐рдорд┐рдд рд░рд╛рдЬреНрдп рдорд╢реАрдиреЗрдВ (рдореВрд░рд╛ рдФрд░ рдореАрд▓реНрд╕)
тАв рд╕рдВрдХреНрд░рдордг рдЖрд░реЗрдЦ рдХреЗ рд░реВрдк рдореЗрдВ рдорд╢реАрди рдХреА рдкреНрд░рд╕реНрддреБрддрд┐
тАв рд╡реЗрд░рд┐рд▓реЛрдЧ рдПрдЪрдбреАрдПрд▓ рдкрд░ рдорд╢реАрди рдХрд╛ рдХрд╛рд░реНрдпрд╛рдиреНрд╡рдпрди
тАв рдЬрдЯрд┐рд▓ рдСрдЯреЛрдореЗрдЯрд╛ рдХреЗ рдбрд┐рдЬрд╛рдЗрди рдХреЗ рд▓рд┐рдП рд╕рд╛рдорд╛рдиреНрдп рджреГрд╖реНрдЯрд┐рдХреЛрдг
тАв рд╡реЗрд░рд┐рд▓реЛрдЧ рдкрд░ рдЕрд▓реНрдЯреНрд░рд╛рд╕реЛрдирд┐рдХ рджреВрд░реА рд╕реЗрдВрд╕рд░ рдХреЗ рдЗрдВрдЯрд░рдлрд╝реЗрд╕ рдореЙрдбреНрдпреВрд▓ рдХрд╛ рдЪрд░рдг-рджрд░-рдЪрд░рдг рдХрд╛рд░реНрдпрд╛рдиреНрд╡рдпрди

рдЫрд╡рд┐

рдЗрд╕рдХреЗ рдЕрд▓рд╛рд╡рд╛ 2 рджрд┐рди рд╣рдордиреЗ рд╕реНрд╡рддрдВрддреНрд░ рдХрд╛рд░реНрдп рдХреЗ рд▓рд┐рдП рдПрдХ рдХрд╛рд░реНрдп рдЬрд╛рд░реА рдХрд┐рдпрд╛:

  • FPGA рдХреЗ рд▓рд┐рдП 4x4 рдХреАрдмреЛрд░реНрдб рдЗрдВрдЯрд░рдлреЗрд╕ рдореЙрдбреНрдпреВрд▓ рдХрд╛ рдХрд╛рд░реНрдпрд╛рдиреНрд╡рдпрди
  • FPGA рдХреИрд▓рдХреБрд▓реЗрдЯрд░ рдХрд╛рд░реНрдпрд╛рдиреНрд╡рдпрди

рдпрд╣рд╛рдБ рдПрдХ рдкрд╣рд▓реЗ рд╕реЗ рд╣реА рд╕реБрди рд╕рдХрддрд╛ рд╣реИ рдХрд┐ рдХреИрд╕реЗ рд▓реЛрдЧреЛрдВ рдХрд╛ рджрд┐рдорд╛рдЧ рдЪрдХрд░рд╛ рдЧрдпрд╛ред рдкреНрд░рд╢реНрди рдереЗ, рдкрд╣рд▓реЗ рдкрд░рд┐рдгрд╛рдореЛрдВ рдХреА рдЖрдВрдЦреЛрдВ рдореЗрдВ рдЦреБрд╢реА рдереА, рдХрд╖реНрдЯрдкреНрд░рдж рд╡рд┐рд╕реНрдордпрд╛рджрд┐рдмреЛрдзрдХ рдереЗ рдХрд┐ рд╕рд░реНрдХрд┐рдЯ рдХреЛ рдХрд╛рдо рдирд╣реАрдВ рдХрд░рдирд╛ рдЪрд╛рд╣рд┐рдП рдЬреИрд╕рд╛ рдХрд┐ рдЗрд╕реЗ рдХрд░рдирд╛ рдЪрд╛рд╣рд┐рдПред

рджрд┐рди 3


рддреАрд╕рд░реЗ рджрд┐рди, рд╕рдм рдордЬрд╝рд╛ рд╢реБрд░реВ рд╣реБрдЖ, рдореЗрд░реА рд░рд╛рдп рдореЗрдВред рдЗрд╕ рджрд┐рди рдХреЛ рдПрдХ рдЗрдВрдЬреАрдирд┐рдпрд░ рджрд┐рдорд┐рддреНрд░реА рд╡рд╛рд▓рд╕реЛрд╡ рдиреЗ рдкрдврд╝рд╛рдпрд╛ рдерд╛, рдЬреЛ рдХреЗрд╡рд▓ 2018 рдореЗрдВ рдПрдХ рд╡рд┐рд╢реНрд╡рд╡рд┐рджреНрдпрд╛рд▓рдп рд╕реЗ рд╕реНрдирд╛рддрдХ рдереЗред рджрд┐рдорд┐рддреНрд░реА рдиреЗ рдкрд╣рд▓реЗ рд╣реА 3 рд╕рдорд╛рди рд╕реНрдХреВрд▓реЛрдВ рдореЗрдВ рднрд╛рдЧ рд▓рд┐рдпрд╛ред рдкрд╣рд▓реА рдмрд╛рд░ (рдЯреЙрдореНрд╕реНрдХ рдореЗрдВ) рд╡рд╣ рдПрдХ рдкреНрд░рддрд┐рднрд╛рдЧреА рдерд╛, рджреВрд╕рд░рд╛ (рдиреЛрд╡реЛрд╕рд┐рдмрд┐рд░реНрд╕реНрдХ рдореЗрдВ) рдЙрд╕рдиреЗ рд╕рд╣рд╛рдпрддрд╛ рдХреА рдФрд░ рдЕрдм рдХреНрд░рд╛рд╕реНрдиреЛрдпрд╛рд░реНрд╕реНрдХ рджрд┐рдорд┐рддреНрд░реА рдореЗрдВ рд╕реНрдХреВрд▓рдкрд╛рдЗрдк рдкреНрд░реЛрд╕реЗрд╕рд░ рдХреЗ рдХрд╛рд░реНрдпрд╛рдиреНрд╡рдпрди рдХреЗ рдмрд╛рд░реЗ рдореЗрдВ рдмрд╛рдд рдХреАред рджрд┐рди 3 рдкрд░ рд╣рдорд╛рд░реЗ рдкрд╛рд╕ рдерд╛:

тАв рдкрд╛рдЗрдкрд▓рд╛рдЗрдирд┐рдВрдЧ рдХреА рдореВрд▓ рдмрд╛рддреЗрдВ
тАв рдЖрд░реНрдХрд┐рдЯреЗрдХреНрдЪрд░ рдФрд░ рдорд╛рдЗрдХреНрд░реЛрдЖрд░реНрдХрд┐рдЯреЗрдХреНрдЪрд░
тАв рдПрдордЖрдЗрдкреАрдПрд╕ рдЕрд╕реЗрдореНрдмрд▓рд░ рдореЗрдВ рдкреНрд░реЛрдЧреНрд░рд╛рдо рд▓рд┐рдЦрдиреЗ рдХреЗ рдореВрд▓ рд╕рд┐рджреНрдзрд╛рдВрдд
тАв MARS рд╕рд┐рдореНрдпреБрд▓реЗрдЯрд░ (MIPS) рдХреЗ рд╕рд╛рде рдХрд╛рдо рдХрд░реЗрдВ
тАв SchoolMIPS рдХреЗ рдЙрджрд╛рд╣рд░рдг рдкрд░ рд╕рдмрд╕реЗ рд╕рд░рд▓ рдПрдХрд▓-рдЪрдХреНрд░ рдкреНрд░реЛрд╕реЗрд╕рд░ рдХреЛ рдбрд┐рдЬрд╛рдЗрди рдХрд░рдирд╛
тАв рдбреЗрдЯрд╛ рдкрде рдФрд░ рдирд┐рдпрдВрддреНрд░рдг рдбрд┐рд╡рд╛рдЗрд╕
тАв рдкреНрд░реЛрдЧреНрд░рд╛рдо рдХреЛ рд╕рдВрдХрд▓рд┐рдд рдХрд░рдирд╛, рд╕рд┐рдореНрдпреБрд▓реЗрдЯрд░ рдореЗрдВ рдкреНрд░реЛрд╕реЗрд╕рд░ рд╢реБрд░реВ рдХрд░рдирд╛ рдФрд░ рдбрд┐рдмрдЧ рдмреЛрд░реНрдб рдкрд░
тАв рд╕реНрд╡рддрдВрддреНрд░ рдХрд╛рд░реНрдпред рдкреНрд░реЛрд╕реЗрд╕рд░ рдХреЛ рдирдП рдирд┐рд░реНрджреЗрд╢реЛрдВ рдХреЗ рд▓рд┐рдП рд╕рдорд░реНрдерди рдЬреЛрдбрд╝рдирд╛

рдЫрд╡рд┐

рд╕рд╛рдордЧреНрд░реА


  • рд╕рднреА рдкрд╛рдареНрдпрдХреНрд░рдо рд╕рд╛рдордЧреНрд░реА (рд╕реНрд▓рд╛рдЗрдб, рд╕реНрдХреНрд░рд┐рдкреНрдЯ, рд╕реНрд░реЛрдд, рд╕рд╛рд╣рд┐рддреНрдп) рдСрдирд▓рд╛рдЗрди ( рдЬреАрдердм , рдЕрддрд┐рд░рд┐рдХреНрдд рд╕рд╛рдордЧреНрд░реА ) рдЙрдкрд▓рдмреНрдз рд╣реИрдВред рд╕реНрд▓рд╛рдЗрдбреНрд╕ рдХреЛ рдПрдХ рд╕рдВрджрд┐рдЧреНрдз рд╢реИрд▓реА рдореЗрдВ рдбрд┐рдЬрд╝рд╛рдЗрди рдХрд┐рдпрд╛ рдЧрдпрд╛ рд╣реИ, рдЬрдм рдЙрди рдкрд░ рдмрд╣реБрдд рдЕрдзрд┐рдХ рдкрд╛рда рд╣реЛрддрд╛ рд╣реИ, рдЗрд╕ рдЙрдореНрдореАрдж рдореЗрдВ рдХрд┐ рдЗрд╕рд╕реЗ рдСрдлрд╝рд▓рд╛рдЗрди рд╕рд╛рдордЧреНрд░рд┐рдпреЛрдВ рд╕реЗ рдкрд░рд┐рдЪрд┐рдд рд╣реЛрдирд╛ рдЖрд╕рд╛рди рд╣реЛ рдЬрд╛рдПрдЧрд╛ред
  • рдмреЛрд░реНрдб рдкрд░ рдЗрдВрдЯреЗрд▓ рдореИрдХреНрд╕ 10 рдПрдлрдкреАрдЬреАрдП рдХреЗ рд╕рд╛рде рдЯреЗрд░рд╛рд╕рд┐рдХ рдбреА 10-рд▓рд╛рдЗрдЯ рдорджрд░рдмреЛрд░реНрдб рдкрд░ рд╡реНрдпрд╛рд╡рд╣рд╛рд░рд┐рдХ рдФрд░ рд╕реНрд╡рддрдВрддреНрд░ рдХрд╛рд░реНрдп рдХрд┐рдП рдЧрдП рдереЗред
  • рдкреБрд╕реНрддрдХ "рдбрд┐рдЬрд┐рдЯрд▓ рд╕рд░реНрдХрд┐рдЯрд░реА рдФрд░ рдХрдВрдкреНрдпреВрдЯрд░ рд╡рд╛рд╕реНрддреБрдХрд▓рд╛", рдЬреЛ рдЗрд▓реЗрдХреНрдЯреНрд░реЙрдирд┐рдХ рд░реВрдк ( рд▓рд┐рдВрдХ ) рдореЗрдВ рдирд┐: рд╢реБрд▓реНрдХ рдЙрдкрд▓рдмреНрдз рд╣реИ, рдпрд╛ рдХрд╛рдЧрдЬ ( рд▓рд┐рдВрдХ ) рдореЗрдВ рдЦрд░реАрджреА рдЬрд╛ рд╕рдХрддреА рд╣реИред рдпрд╣ рдкрдврд╝рдирд╛ рдЖрд╕рд╛рди рд╣реИ, рдХрд▓реНрдкрдирд╛ рдХреЗ рд░реВрдк рдореЗрдВ рджреВрд░ рдЬрд╛рддрд╛ рд╣реИред рдЗрд╕рдХреА рдХрдо рдкреНрд░рд╡реЗрд╢ рд╕реАрдорд╛ рд╣реИ - рдЗрд╕реЗ рдкрдврд╝рдирд╛ рд╢реБрд░реВ рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рдХреБрдЫ рд╡рд┐рд╢реЗрд╖ рдЬреНрдЮрд╛рди рдХреА рдЖрд╡рд╢реНрдпрдХрддрд╛ рдирд╣реАрдВ рд╣реИред рд╣рд╛рд▓ рд╣реА рдореЗрдВ, рдПрдЖрд░рдПрдо рд╡рд╛рд╕реНрддреБрдХрд▓рд╛ рдкрд░ рдПрдХ рдЕрддрд┐рд░рд┐рдХреНрдд рдЬрд╛рд░реА рдХрд┐рдпрд╛ рдЧрдпрд╛ рд╣реИ ( рд▓рд┐рдВрдХ ); рдкреБрд╕реНрддрдХ рдХреЗ рд▓рд┐рдП рдХреЛрдб рдирдореВрдиреЗ рдЙрдкрд▓рдмреНрдз рд╣реИрдВ, рд╕рд╛рде рд╣реА рд╕реНрд▓рд╛рдЗрдб (рдЕрдВрдЧреНрд░реЗрдЬреА рдФрд░ рд░реВрд╕реА рд╕рдВрд╕реНрдХрд░рдг) рдЬреЛ рдЖрдк рдЕрдкрдиреЗ рдЦреБрдж рдХреЗ рдкрд╛рдареНрдпрдХреНрд░рдо ( рд▓рд┐рдВрдХ ) рдХрд╛ рдирд┐рд░реНрдорд╛рдг рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рдЙрдкрдпреЛрдЧ рдХрд░ рд╕рдХрддреЗ рд╣реИрдВред

рдзрдиреНрдпрд╡рд╛рдж


  • рд╕реНрдЯреИрдирд┐рд╕реНрд▓рд╛рд╡ рдЬрд╝реЗрд▓реНрдирд┐рдпреЛ ( рд╕реНрдкрд╛рд░рдПрдл ) рдФрд░ рдпреВрд░реА рдкрдВрдЪреБрд▓ ( рдпреВрд░реАрдкреИрдирдЪреБрд▓ ) рдХреЗ рд▓рд┐рдП рдзрдиреНрдпрд╡рд╛рдж, рдЬрд┐рдиреНрд╣реЛрдВрдиреЗ рд╣рдорд╛рд░реЗ рдкреНрд░рдпрд╛рд╕реЛрдВ рдХрд╛ рд╕рдорд░реНрдерди рдХрд┐рдпрд╛, рд╕рд▓рд╛рд╣ рдХреЗ рд╕рд╛рде рдорджрдж рдХреА, рдбрд┐рдмрдЧрд┐рдВрдЧ рдмреЛрд░реНрдб рднреЗрдЬреЗ рдФрд░ рдЖрдо рддреМрд░ рдкрд░ рд╣рдореЗрдВ рдЗрд╕ рдШрдЯрдирд╛ рдХрд╛ рд╕рдВрдЪрд╛рд▓рди рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рд╣рд░ рд╕рдВрднрд╡ рддрд░реАрдХреЗ рд╕реЗ рдкреНрд░реЛрддреНрд╕рд╛рд╣рд┐рдд рдХрд┐рдпрд╛ред
  • рдЕрд▓рдЧ рд╕реЗ, рдореИрдВ рдЙрджреНрдпрдорд┐рддрд╛ рд╕реВрдЪрдирд╛ рдкреНрд░рдгрд╛рд▓реА рдХреЛ рдиреЛрдЯ рдХрд░рдирд╛ рдЪрд╛рд╣рддрд╛ рд╣реВрдВ рдЬрд┐рд╕рдХрд╛ рдирд╛рдо рд╢рд┐рдХреНрд╖рд╛рд╡рд┐рдж рдПрдо.рдПрдл. Reshetnevред рдореЗрд░реЗ рд╕рд╣рдпреЛрдЧреА рдФрд░ рдореИрдВ рд╡рд╣рд╛рдВ рдФрд░ рд╕рдВрдЧрдарди рдХреЗ рд╕рд░реНрд╡реЛрдЪреНрдЪ рдЕрдзрд┐рдХрд╛рд░рд┐рдпреЛрдВ рдХреЗ рд╕рд╛рде рдХрд╛рдо рдХрд░ рд░рд╣реЗ рд╣реИрдВ, рд╕рд╛рде рд╣реА рд╣рдорд╛рд░реЗ рдкреНрд░рддреНрдпрдХреНрд╖ рдиреЗрддреГрддреНрд╡ рдиреЗ рд╣рдорд╛рд░реЗ рдкреНрд░рдпрд╛рд╕ рдореЗрдВ рд╣рдорд╛рд░рд╛ рд╕рдорд░реНрдерди рдХрд┐рдпрд╛ рдФрд░ рд╣рд░ рд╕рдВрднрд╡ рдорджрдж рдХреАред
  • рд╕рд╛рдЗрдмреЗрд░рд┐рдпрд╛рдИ рд╕рдВрдШреАрдп рд╡рд┐рд╢реНрд╡рд╡рд┐рджреНрдпрд╛рд▓рдп рдХреЗ рдХрд╛рд░реНрдпрдХреНрд░рдо рдХреЗ рдЖрдпреЛрдЬрдХ рдУрд▓реЗрдЧ рд╡реНрд▓рд╛рджрд┐рдореАрд░реЛрд╡рд┐рдЪ рдиреЗрдкреЛрдореНрдирд╛рд╢рд┐рд╢ рд╣реИрдВ, рдЬреЛ рд╣рдореЗрдВ рд╣реЛрд╕реНрдЯ рдХрд░рдиреЗ рд╡рд╛рд▓реЗ рд╡рд┐рднрд╛рдЧ рдХреЗ рдкреНрд░рдореБрдЦ рд╣реЛрдиреЗ рдХреЗ рдирд╛рддреЗ, рд╡рд┐рд╢реНрд╡рд╡рд┐рджреНрдпрд╛рд▓рдп рдореЗрдВ рдиреМрдХрд░рд╢рд╛рд╣реА рдХреНрд╖рдгреЛрдВ рдХрд╛ рдирд┐рдкрдЯрд╛рди рдХрд░рддреЗ рд╣реИрдВред рдЗрд╕рдХреЗ рдЕрд▓рд╛рд╡рд╛, рд░реЛрдмреЛрд▓реИрдм рдкреНрд░рдпреЛрдЧрд╢рд╛рд▓рд╛ рдореЗрдВ рдХрдХреНрд╖рд╛рдПрдВ рдЖрдпреЛрдЬрд┐рдд рдХреА рдЬрд╛рддреА рдереАрдВ, рдЬрд┐рд╕реЗ APPLE рдкрд░рд┐рдпреЛрдЬрдирд╛ рдХреЗ рд╣рд┐рд╕реНрд╕реЗ рдХреЗ рд░реВрдк рдореЗрдВ рддреИрдирд╛рдд рдХрд┐рдпрд╛ рдЧрдпрд╛ рдерд╛, рдЬрд┐рд╕рдореЗрдВ рдУрд▓реЗрдЧ рд╡реНрд▓рд╛рджрд┐рдореАрд░реЛрд╡рд┐рдЪ рд╕рдордиреНрд╡рдпрдХ рд╣реИрдВред Sirotinina Natalya Yuryevna, рдПрд╕реЛрд╕рд┐рдПрдЯ рдкреНрд░реЛрдлреЗрд╕рд░, NUL тАЬрдорд╛рдЗрдХреНрд░реЛрдкреНрд░реЛрд╕реЗрд╕рд░ рд╕рд┐рд╕реНрдЯрдотАЭ рдХреЗ рдкреНрд░рдореБрдЦ, APPLE рдкреНрд░реЛрдЬреЗрдХреНрдЯ рдирд┐рд╖реНрдкрд╛рджрдХ, рдиреЗ рднреА рд╣рдореЗрдВ рд╕реНрдХреВрд▓ рдХреЗ рд╕рд╛рде рдмрд╣реБрдд рдорджрдж рдХреАред рдФрд░ рдЦрд╛рдВрдЯреАрдореАрд░реЛрд╡ рдПрдВрдЯреЛрди рдЧреЗрдирд╛рдбрд┐рд╡рд┐рдЪ, рдПрдирдпреВрдПрд▓ "рдорд╛рдЗрдХреНрд░реЛрдкреНрд░реЛрд╕реЗрд╕рд░ рд╕рд┐рд╕реНрдЯрдо" рдХреЗ рд╕рд╣рд╛рдпрдХ, рдПрдкреАрдПрд▓рдИ рдкреНрд░реЛрдЬреЗрдХреНрдЯ рдПрдХреНрдЬрд╝реАрдХреНрдпреВрдЯрд░ред
  • рдореЗрд░реЗ рд╕рд╣рдХрд░реНрдорд┐рдпреЛрдВ рджрд┐рдорд┐рддреНрд░реА рд╡реНрд▓рд╛рд╕реЛрд╡ рдФрд░ рдмреЛрд░рд┐рд╕ рдбреБрдбрдХрд┐рди рдХреЛ рдореЗрд░реА рдорджрдж рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рд╕рд╣рдордд рд╣реЛрдиреЗ рдХреЗ рд▓рд┐рдП рдФрд░ рд╣рд░ рджрд┐рди рд╕рд╡рд╛рд░реА рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП Zheleznogorsk рд╕реЗ рдХреНрд░рд╛рд╕реНрдиреЛрдпрд╛рд░реНрд╕реНрдХ рдФрд░ рд╡рд╛рдкрд╕ рдЬрд╛рдиреЗ рдХреЗ рд▓рд┐рдП рдмрд╣реБрдд рдзрдиреНрдпрд╡рд╛рдж!
  • рдЦреИрд░, рдЫрд╛рддреНрд░реЛрдВ рдХреЛ рднреА рдзрдиреНрдпрд╡рд╛рдж! рд╣рдо рдЖрдкрдХреЛ рдкрд╕рдВрдж рдХрд░рддреЗ рдереЗ рдФрд░ рддреЗрдЬ-рддрд░реНрд░рд╛рд░ рдФрд░ рдордЬрд╛рдХрд┐рдпрд╛ рдереЗред рд╣рдорд╛рд░реЗ рдкрд╛рд╕ рдХрд╛рдо рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рдЖрдУ рдЬрдм рдЖрдк рд╕реНрдирд╛рддрдХ рд╣реЛрдВред

рд╕реНрдХреВрд▓ рдХреА рд╕рдореАрдХреНрд╖рд╛


рдЕрд▓реЗрдХреНрдЬреЗрдВрдбрд░ рдХрд▓рд╛рд╢реНрдирд┐рдХреЛрд╡:

рдЗрди рддреАрди рджрд┐рдиреЛрдВ рдХреЗ рджреМрд░рд╛рди, FPGA рдХреА рджреБрдирд┐рдпрд╛ рдореЗрдВ рдПрдХ рд╕рдВрдХреНрд╖рд┐рдкреНрдд рднреНрд░рдордг рдХрд┐рдпрд╛ рдЧрдпрд╛ рдерд╛ред рдЬрдЯрд┐рд▓рддрд╛ рдмрдврд╝рддреА рдЖрдзрд╛рд░ рдкрд░ рдмрдврд╝реА, рд▓реЗрдХрд┐рди рдЬрдм рд╕рдм рдХреБрдЫ рдХрд╛рдо рдХрд┐рдпрд╛ рддреЛ рд╡рд╛рд╕реНрддрд╡ рдореЗрдВ рдмрдЪрдХрд╛рдирд╛ рдЦреБрд╢реА рдкреИрджрд╛ рд╣реБрдИред рдЬрдм рдЖрдк FPGAs рдореЗрдВ рд╣реЛрдиреЗ рд╡рд╛рд▓реА рд╕рдорд╛рдирд╛рдВрддрд░ рдкреНрд░рдХреНрд░рд┐рдпрд╛рдУрдВ рдХреЗ рдмрд╛рд░реЗ рдореЗрдВ рд╕реАрдЦрддреЗ рд╣реИрдВ рддреЛ рджреБрдирд┐рдпрд╛ рдХреА рддрд╕реНрд╡реАрд░ рдлрд┐рд░ рд╕реЗ рдмрдирддреА рд╣реИред рдорд╛рдЗрдХреНрд░реЛрдЖрд░реНрдХрд┐рдЯреЗрдХреНрдЪрд░ рдХреЛ "рдЫреВ", рдореИрдВ рдЕрдкрдиреА рдЯреАрдо рдХреЛ рдЬреЛрдбрд╝рдиреЗ рдореЗрдВ рдХрд╛рдордпрд╛рдм рд░рд╣рд╛, рдлрд┐рд░ рдореЗрд░рд╛ рдкрд╣рд▓рд╛ рдХреЛрдбрд░ рдХреЛрдб ... рдпрд╣ рд╕реНрдХреВрд▓ рдирдП рдкрд░рд┐рдЪрд┐рддреЛрдВ рдХреЛ рдмрдирд╛рдиреЗ рдХрд╛ рдПрдХ рд╢рд╛рдирджрд╛рд░ рдЕрд╡рд╕рд░ рдерд╛, рдЬреЛ рд╡рд╛рд╕реНрддрд╡ рдореЗрдВ рджрд┐рд▓рдЪрд╕реНрдк рд▓реЛрдЧреЛрдВ рдХреЗ рд╕рд╛рде рд╕рдВрд╡рд╛рдж рдХрд░рдиреЗ рдХрд╛ рдЕрдиреБрднрд╡ рдкреНрд░рд╛рдкреНрдд рдХрд░рддреЗ рдереЗ рдЬреЛ рдПрдХ рдЪрд┐рдВрдЧрд╛рд░реА рдХреЛ рдЪрд┐рдВрдЧрд╛рд░реА рдХрд░рдиреЗ рдореЗрдВ рд╕рдХреНрд╖рдо рдереЗред рдореБрдЭреЗ рдЖрд╢рд╛ рд╣реИ рдХрд┐ рдпрд╣ рдорд┐рдЯрддрд╛ рдирд╣реАрдВ рд╣реИ рдФрд░ рдЖрдЧ рдореЗрдВ рд╡рд┐рдХрд╕рд┐рдд рд╣реЛрддрд╛ рд╣реИред рдзрдиреНрдпрд╡рд╛рдж!
рд╕реНрд╡реЗрддрд▓рд╛рдирд╛ рд▓реЗрд╢рд╢реЗрдВрдХреЛ:

рдРрд╕реЗ рд░реЛрдЪрдХ рдФрд░ рд╡рд┐рд╢рд╛рд▓ рдЕрдиреБрднрд╡ рдХреЗ рд▓рд┐рдП рдЖрдкрдХрд╛ рдмрд╣реБрдд-рдмрд╣реБрдд рдзрдиреНрдпрд╡рд╛рджред рд╡рд╛рд╕реНрддрд╡ рдореЗрдВ, рдЙрддреНрдкрд╛рджрди рд╢реНрд░рдорд┐рдХреЛрдВ рдХреА рдЯрд┐рдкреНрдкрдгрд┐рдпреЛрдВ рдФрд░ рдЕрдиреБрднрд╡ рдХреЛ рд╕реБрдирдирд╛ рджрд┐рд▓рдЪрд╕реНрдк рдерд╛, рдХреНрдпреЛрдВрдХрд┐ рд╣рдорд╛рд░реЗ рд╢рд┐рдХреНрд╖рдХ рдЬреНрдпрд╛рджрд╛рддрд░ рд╕рд┐рджреНрдзрд╛рдВрддрд╡рд╛рджреА рд╣реИрдВред рдЗрд╕рд▓рд┐рдП рд╣рдордиреЗ рдХрднреА рдЙрдкрдпреЛрдЧ рдирд╣реАрдВ рдХрд┐рдпрд╛, рдЙрджрд╛рд╣рд░рдг рдХреЗ рд▓рд┐рдП, рд╡рд┐рдЬрд╝реБрдЕрд▓ рдХреЛрдб, рдЬреЛ рдмрд╣реБрдд рд╕реБрд╡рд┐рдзрд╛рдЬрдирдХ рдирд┐рдХрд▓рд╛ред

рдПрдХ рд╕рдореАрдХреНрд╖рд╛ рдХреЗ рд░реВрдк рдореЗрдВ, рдореИрдВ рдХреЗрд╡рд▓ рдпрд╣ рдХрд╣ рд╕рдХрддрд╛ рд╣реВрдВ рдХрд┐ рдЗрд╕ рд╕реНрдХреВрд▓ рдореЗрдВ рдореИрдВрдиреЗ рдмрд╣реБрдд рдХреБрдЫ рд╕реАрдЦрд╛ рдФрд░ рдЖрдЧреЗ рдХреА рдкрдврд╝рд╛рдИ рдЬрд╛рд░реА рд░рдЦрдиреЗ рдХреА рдЙрдореНрдореАрдж рд╣реИред рдЖрдкрдХреА рдХрдХреНрд╖рд╛рдУрдВ рдиреЗ рд╡рд╛рд╕реНрддрд╡ рдореЗрдВ рдореБрдЭреЗ FPGAs рдХреЗ рд▓рд┐рдП рдкреНрд░реЛрдЧреНрд░рд╛рдорд┐рдВрдЧ рдореЗрдВ рд░реБрдЪрд┐ рджреАред
рдПрдВрдЯреЛрди рдЦрдВрддрд┐рдореАрд░реЛрд╡:

рд╕реНрдХреВрд▓ рд╕реЗ рд╕рдХрд╛рд░рд╛рддреНрдордХ рдЗрдВрдкреНрд░реЗрд╢рди, рдореИрдВ рдЕрдкрдиреЗ рдХрд╛рдо рдХреЗ рд▓рд┐рдП рдЬрд┐рддрдирд╛ рд╕рдВрднрд╡ рд╣реЛ рд╕рдХреЗ рдЕрдкрдирд╛рдиреЗ рдХреА рдХреЛрд╢рд┐рд╢ рдХрд░реВрдВрдЧрд╛ред
рдЗрд╕ рддрд░рд╣ рдХреЗ рдЧреЛрддреЗ рд╡рд┐рдХрд╛рд╕ рдХреЗ рд╡рд┐рднрд┐рдиреНрди рд╕реНрдкрд╖реНрдЯ рдкрд╣рд▓реБрдУрдВ рдХреЛ рд╕рдордЭрдиреЗ рдХреЗ рд▓рд┐рдП рдЙрдкрдпреЛрдЧреА рд╣реЛрддреЗ рд╣реИрдВред
рдЖрдпреЛрдЬрдХреЛрдВ рдХреЛ рдмрд╣реБрдд рдзрдиреНрдпрд╡рд╛рджред
рдЧреЛрд░реЗрд╡рд╛ рд╡реЗрд░реЛрдирд┐рдХрд╛:

рдореБрдЭреЗ рд╕рдм рдХреБрдЫ рдкрд╕рдВрдж рдЖрдпрд╛, рдХрд┐рдП рдЧрдП рдХрд╛рдо рдХреЗ рд▓рд┐рдП рдЖрдкрдХреА рдЯреАрдо рдХреЛ рдзрдиреНрдпрд╡рд╛рдж, рд╣рдорд╛рд░реЗ рд╕рдВрд╕реНрдерд╛рди рдореЗрдВ рдРрд╕реЗ рд╢рд┐рдХреНрд╖рдХреЛрдВ рдХрд╛ рд╣реЛрдирд╛ рдЕрдЪреНрдЫрд╛ рд╣реЛрдЧрд╛ред
рдкреБрдирд╢реНрдЪ рд╕реЗрдм - рдЕрдВрддрд░рд┐рдХреНрд╖ рдЕрдиреНрд╡реЗрд╖рдг рдФрд░ рдмреБрджреНрдзрд┐рдорд╛рди рд░реЛрдмреЛрдЯ рдкреНрд░рдгрд╛рд▓рд┐рдпреЛрдВ рдореЗрдВ рд▓рд╛рдЧреВ рдкрд╛рдареНрдпрдХреНрд░рдоред рдЕрдВрддрд░рд┐рдХреНрд╖ рдЕрдиреНрд╡реЗрд╖рдг рдФрд░ рдмреБрджреНрдзрд┐рдорд╛рди рд░реЛрдмреЛрдЯ рд╕рд┐рд╕реНрдЯрдо рдореЗрдВ рдПрдкреНрд▓рд╛рдЗрдб рдкреНрд░рд╢рд┐рдХреНрд╖рдг рдХрд╛рд░реНрдпрдХреНрд░рдоред рдпрд╣ рдПрдХ рдЕрдВрддрд░рд░рд╛рд╖реНрдЯреНрд░реАрдп рдкрд░рд┐рдпреЛрдЬрдирд╛ рд╣реИ рдЬрд┐рд╕рдХреЗ рдХрд╛рд░реНрдпреЛрдВ рдореЗрдВ рд╢рд╛рдорд┐рд▓ рд╣реИрдВ:

  • рд▓рдХреНрд╖реНрдп рдХреНрд╖реЗрддреНрд░ рдореЗрдВ рдореМрдЬреВрджрд╛ рдкрд╛рдареНрдпрдХреНрд░рдо рдХрд╛ рдЖрдзреБрдирд┐рдХреАрдХрд░рдг, рдмреЛрд▓реЛрдЧреНрдирд╛ рдкреНрд░рдХреНрд░рд┐рдпрд╛ рдХреА рдЖрд╡рд╢реНрдпрдХрддрд╛рдУрдВ рдХреЛ рдзреНрдпрд╛рди рдореЗрдВ рд░рдЦрддреЗ рд╣реБрдП рдФрд░ рдкреНрд░рдореБрдЦ рдпреВрд░реЛрдкреАрдп рд╡рд┐рд╢реНрд╡рд╡рд┐рджреНрдпрд╛рд▓рдпреЛрдВ рд╕реЗ рд╕рд░реНрд╡реЛрддреНрддрдо рдкреНрд░рдерд╛рдУрдВ рдкрд░ рдЖрдзрд╛рд░рд┐рдд;
  • рдпреВрд░реЛрдкреАрдп рд╕рдВрдШ рдХреЗ рднрд╛рдЧреАрджрд╛рд░реЛрдВ рдХреА рдкрджреНрдзрддрд┐ рд╕рдВрдмрдВрдзреА рд╡рд┐рдХрд╛рд╕ рдХреА рд╢реИрдХреНрд╖рд┐рдХ рдкреНрд░рдХреНрд░рд┐рдпрд╛ рдореЗрдВ рдПрдХреАрдХрд░рдг;
  • рд░реЛрдмреЛрд▓реИрдм рдмреБрджреНрдзрд┐рдорд╛рди рдЕрдВрддрд░рд┐рдХреНрд╖ рд░реЛрдмреЛрдЯ рдкреНрд░рдгрд╛рд▓рд┐рдпреЛрдВ рдХреЗ рд▓рд┐рдП рдПрдХ рдкреНрд░рд╢рд┐рдХреНрд╖рдг рдкреНрд░рдпреЛрдЧрд╢рд╛рд▓рд╛ рдХрд╛ рдирд┐рд░реНрдорд╛рдг;
  • рд╢рд┐рдХреНрд╖рдХ рдкреНрд░рд╢рд┐рдХреНрд╖рдг;
  • рд▓рдХреНрд╖рд┐рдд рд▓рд╛рдЧреВ рдХреНрд╖реЗрддреНрд░ рдореЗрдВ рд╡реИрдЬреНрдЮрд╛рдирд┐рдХ рдФрд░ рд╡реНрдпрд╛рд╡рд╣рд╛рд░рд┐рдХ рдЧрддрд┐рд╡рд┐рдзрд┐рдпреЛрдВ рдореЗрдВ рд╕рдХреНрд░рд┐рдп рд╕рд╣рдпреЛрдЧред

Source: https://habr.com/ru/post/hi433750/


All Articles