рдПрдХ 3 рдбреА рдкреНрд░рд┐рдВрдЯрд░ рдореЗрдВ рд░реБрдХрд╛рд╡рдЯ рдХреЛ рдХрдо рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рд╕рд░реВ рдХреЗ рдпреВрдбреАрдмреА рдкреАрдПрд╕рдУрд╕реА рдирд┐рдпрдВрддреНрд░рдХреЛрдВ рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░рдирд╛



UDB рдкрд░ рдорд╛рд▓рд┐рдХрд╛рдирд╛ рдкреНрд░рд▓реЗрдЦрди рдХреЗ рдЕрдиреБрд╡рд╛рдж рдкрд░ рдЯрд┐рдкреНрдкрдгрд┐рдпреЛрдВ рдореЗрдВ , рдпрд╣ рд╕рд╣реА рдврдВрдЧ рд╕реЗ рдиреЛрдЯ рдХрд┐рдпрд╛ рдЧрдпрд╛ рдерд╛ рдХрд┐ рдмрд╕ рд╢реБрд╖реНрдХ рддрдереНрдп рд╕рд╛рдордЧреНрд░реА рдХреА рд╕рдордЭ рдореЗрдВ рдпреЛрдЧрджрд╛рди рдирд╣реАрдВ рдХрд░рддреЗ рд╣реИрдВред рд▓реЗрдХрд┐рди рдЙрд╕ рджрд╕реНрддрд╛рд╡реЗрдЬрд╝ рдореЗрдВ рд╕реВрдЦреЗ рддрдереНрдп рдареАрдХ рд╣реИрдВред рдЕрднреНрдпрд╛рд╕ рдХреЗ рд╕рд╛рде рдЙрдиреНрд╣реЗрдВ рдкрддрд▓рд╛ рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП, рдЕрдиреБрд╡рд╛рдж рд╕реЗ рд╡рд┐рд░рд╛рдо рд▓реЗрддреЗ рд╣реИрдВред рдЖрдЗрдП рдЗрд╕ рдмреНрд▓реЙрдХ рдХреЛ рдЕрдкрдиреЗ рд╣рд╛рдереЛрдВ рдореЗрдВ рд▓реЗрдВ рдФрд░ рджреЗрдЦреЗрдВ рдХрд┐ рд╡реНрдпрд╡рд╣рд╛рд░ рдореЗрдВ рдЗрд╕реЗ рдХреНрдпрд╛ рдФрд░ рдХреИрд╕реЗ рд╣рд╛рд╕рд┐рд▓ рдХрд┐рдпрд╛ рдЬрд╛ рд╕рдХрддрд╛ рд╣реИред

рд▓рдВрдмрд╛ рдкрд░рд┐рдЪрдп


рдпрд╣ рд▓реЗрдЦ рдЧрд░реНрднрд┐рдд рддреНрд░рдпреА рдХрд╛ рджреВрд╕рд░рд╛ рднрд╛рдЧ рд╣реИред рдкрд╣рд▓рд╛ рднрд╛рдЧ рдпрд╣рд╛рдВ рд╕реНрдерд┐рдд рд╣реИ (рдЖрд░рдЬреАрдмреА рдПрд▓рдИрдбреА рдирд┐рдпрдВрддреНрд░рдг рд╕рд░реВ рдпреВрдбреАрдмреА рдорд╛рдЗрдХреНрд░реЛрдХрдВрдЯреНрд░реЛрд▓рд░ рдпреВрдирд┐рдЯ рдкреАрдПрд╕рдУрд╕реА рдХреЗ рдорд╛рдзреНрдпрдо рд╕реЗ)ред

рд╕рд░реВ рдХреЗ рдпреВрдбреАрдмреА рдкреАрдПрд╕рдУрд╕реА рдирд┐рдпрдВрддреНрд░рдХреЛрдВ рдХреЗ рдЕрд▓рд╛рд╡рд╛, рдЬрд╣рд╛рдВ рдХреБрдЫ рдЗрдВрдЯрд░рдлреЗрд╕ рдЙрди рдкрд░ рд▓рд╛рдЧреВ рдХрд┐рдП рдЬрд╛рддреЗ рд╣реИрдВ, рдпрд╣ рдЬрд╛рдВрдЪрдирд╛ рджрд┐рд▓рдЪрд╕реНрдк рд╣реЛрдЧрд╛ рдХрд┐ рдХреИрд╕реЗ рдпреЗ рдмреНрд▓реЙрдХ рдХреБрдЫ рдирд┐рд╢реНрдЪрд┐рдд рд╕рдВрд╕рд╛рдзрди-рдЧрд╣рди рдХрд╛рд░реНрдпреЛрдВ рд╕реЗ рдХреЗрдВрджреНрд░реАрдп рдкреНрд░реЛрд╕реЗрд╕рд░ рдХреЛ рдЕрдирд▓реЛрдб рдХрд░рдХреЗ рдкреНрд░реЛрдЧреНрд░рд╛рдорд░ рдХреЗ рд▓рд┐рдП рдЬреАрд╡рди рдЖрд╕рд╛рди рдмрдирд╛ рд╕рдХрддреЗ рд╣реИрдВред рд▓реЗрдХрд┐рди рдпрд╣ рд╕реНрдкрд╖реНрдЯ рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рдХрд┐ рдореИрдВ рдХреНрдпрд╛ рдХрд░рдиреЗ рдЬрд╛ рд░рд╣рд╛ рд╣реВрдВ, рдореБрдЭреЗ рдПрдХ рд╡реНрдпрд╛рдкрдХ рдкреНрд░рд╕реНрддрд╛рд╡рдирд╛ рд▓рд┐рдЦрдиреА рд╣реЛрдЧреАред

2015 рдХреЗ рдкрддрди рдореЗрдВ, рдореИрдВрдиреЗ рдПрдХ рдирдпрд╛ MZ3D 3 рдбреА рдкреНрд░рд┐рдВрдЯрд░ рдЦрд░реАрджрд╛, рдФрд░ 2016 рдХреЗ рд╡рд╕рдВрдд рддрдХ рдореИрдВ рдердХ рдЧрдпрд╛ рдХрд┐ рдЗрд╕рдХреЗ рдХрджрдореЛрдВ рдиреЗ рдХреИрд╕реЗ рддреЗрдЬ рдХрд┐рдпрд╛ред рдЯрд╛рдЗрдореНрд╕ рдЬрдВрдЧрд▓реА рдереЗ, рд╣рдо рд╕рд░реНрд╡рд╢реНрд░реЗрд╖реНрда рдХреЗ рд░реВрдк рдореЗрдВ рдмрдЪ рдЧрдП, рдЗрд╕рд▓рд┐рдП рдПрдХрдорд╛рддреНрд░ рд╕рдорд╛рдзрд╛рди рдлрд┐рд░ рдорд╛рдЗрдХреНрд░реЛрд╕реНрдЯреЗрдк 1/16 рд╕реЗ 1/32 рдкрд░ рд╕реНрд╡рд┐рдЪ рдХрд░рдирд╛ рдерд╛ред рдХрд╛рд░рдЦрд╛рдиреЗ рдХреЗ рд╕рд╛рде рдкрддреНрд░рд╛рдЪрд╛рд░ рд╕реЗ рдкрддрд╛ рдЪрд▓рд╛ рдХрд┐ рдпрд╣ Arduino рдкрд░ рд╕рдВрднрд╡ рдирд╣реАрдВ рд╣реИред рдЬреИрд╕рд╛ рдХрд┐ рдпрд╣ рдкрддрд╛ рдЪрд▓рд╛ рд╣реИ, рдЙрди рд╡рд░реНрд╖реЛрдВ рдХреЗ "рдлрд░реНрдорд╡реЗрдпрд░" рдореЗрдВ рдкреНрд░рддрд┐рдмрдВрдз рдерд╛, 10 KHz рд╕реЗ рдЕрдзрд┐рдХ рдХреА рдЪрд░рдг рдЖрд╡реГрддреНрддрд┐ рдХреЗ рд╕рд╛рде, рдЖрднрд╛рд╕реА рдХрджрдо рдирд╣реАрдВ рдЙрдард╛рдП рдЧрдП рдереЗ, рд▓реЗрдХрд┐рди рджреЛ рдЖрднрд╛рд╕реА рдХрджрдо рдереЗ, рдЕрдиреНрдпрдерд╛ рд╕рд┐рд╕реНрдЯрдо рдХреЗ рдкрд╛рд╕ рд╕рднреА "рдЪрд░рдг" рдХреЛ рдмрд╛рдзрд┐рдд рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рдкрд░реНрдпрд╛рдкреНрдд рд╕рдордп рдирд╣реАрдВ рдерд╛ред рдПрдЖрд░рдПрдо рдкреНрд▓реЗрдЯрдлреЙрд░реНрдо рдкрд░ рд╕рдм рдХреБрдЫ рдЦреАрдВрдЪрдиреЗ рдХреЗ рд▓рд┐рдП рдХреЗрд╡рд▓ рдПрдХ рд╣реА рд░рд╛рд╕реНрддрд╛ рдерд╛ред рдпрд╣ рдбреНрд░реИрдЧ рдПрдВрдб рдбреНрд░реЙрдк рдерд╛, рдбрд╛рдЙрдирд▓реЛрдб рдирд╣реАрдВ, рдХреНрдпреЛрдВрдХрд┐ рдЙрд╕ рд╕рдордп рдХреЛрдИ рддреИрдпрд╛рд░ рдПрдЖрд░рдПрдо рд╕рдорд╛рдзрд╛рди рднреА рдирд╣реАрдВ рдереЗред рдХреБрдЫ рд╣рдлрд╝реНрддреЗ рдореЗрдВ рдореИрдВрдиреЗ рдпрд╣ рд╕рдм STM32F4 рдореЗрдВ рд╕реНрдерд╛рдирд╛рдВрддрд░рд┐рдд рдХрд░ рджрд┐рдпрд╛, рдЗрдВрдЬрдиреЛрдВ рдХреА рдЖрд╡рд╛рдЬрд╝ рдЕрдзрд┐рдХ рд╕реБрдЦрдж рд╣реЛ рдЧрдИ, рд╕рдорд╕реНрдпрд╛ рд╣рд▓ рд╣реЛ рдЧрдИред

рдлрд┐рд░ рд╣рдорд╛рд░реА рдХрдВрдкрдиреА рдореЗрдВ рдУрдПрд╕ рдХрд╛ рд╡рд┐рдХрд╛рд╕ рд╢реБрд░реВ рд╣реБрдЖ, рдФрд░ рдмреИрдардХреЛрдВ рдореЗрдВ рдореБрдЭреЗ рд▓рдВрдмреЗ рд╕рдордп рддрдХ рд╕рд╛рдмрд┐рдд рдХрд░рдирд╛ рдкрдбрд╝рд╛ рдХрд┐ рдкреНрд░рд╕рдВрд╕реНрдХрд░рдг рдореЗрдВ рд░реБрдХрд╛рд╡рдЯ рдХреЗ рд▓рд┐рдП рд╡рд┐рд╢рд┐рд╖реНрдЯ рджреГрд╖реНрдЯрд┐рдХреЛрдг рд╣рдореЗрд╢рд╛ рдЧрддрд┐ рдХреЗ рд╕рдВрджрд░реНрдн рдореЗрдВ рд╕реНрд╡реАрдХрд╛рд░реНрдп рдирд╣реАрдВ рд╣реИ, рд╕рд┐рд░реНрдл рдЙрд╕ рдареЗрда, рд▓реЗрдХрд┐рди рдмрд╣реБрдд рд╣реА рдЧреНрд▓реВрдЯреЛрдирд╕ рдорд╛рдорд▓реЗ рдореЗрдВ рдЕрдкреАрд▓ рдХрд░рддрд╛ рд╣реИред рдЗрд╕ рд╡рд┐рд╖рдп рдкрд░ рдЪрд░реНрдЪрд╛ рдпрд╣рд╛рдБ рдУрдПрд╕ рдореЗрдВ рд╡реНрдпрд╡рдзрд╛рди рдкрд░ рдореЗрд░реЗ рд▓реЗрдЦ рдореЗрдВ рдкреНрд░рдХрд╛рд╢рд┐рдд рдХреА рдЧрдИ рд╣реИ (рдПрдХ рд░реВрд╕реА рдЖрд░рдЯреАрдУрдПрд╕ рдХрд╛ рдЕрд╡рд▓реЛрдХрди, рднрд╛рдЧ 8. рдЗрдВрдЯрд░рдкреНрдЯ рдХреЗ рд╕рд╛рде рдХрд╛рдо рдХрд░рдирд╛)ред рд╕рд╛рдорд╛рдиреНрдп рддреМрд░ рдкрд░, рдПрдХ рд╕рдорд╕реНрдпрд╛ рд▓рдВрдмреЗ рд╕рдордп рд╕реЗ рдореЗрд░реЗ рд╕рд┐рд░ рдореЗрдВ рдмрд╕реА рд╣реБрдИ рд╣реИ: рдПрдХ рдЙрдк-рдкреНрд░рдгрд╛рд▓реА рдХреА рд╕реЗрд╡рд╛ рдореЗрдВ рд▓рдЧрд╛рддрд╛рд░ рд╕рд╣рд╛рдпрдХ рд╡реНрдпрд╡рдзрд╛рди рд╕рдм рдХреБрдЫ рдзреАрдорд╛ рдХрд░ рджреЗрддреЗ рд╣реИрдВред рдХреЗрдВрджреНрд░реАрдп рдкреНрд░реЛрд╕реЗрд╕рд░ рдХрд╛ рд╕рд░рд▓ рд╢реЛрдзрди, рдирд┐рд╢реНрдЪрд┐рдд рд░реВрдк рд╕реЗ рд╕рдорд╕реНрдпрд╛ рдХреЛ рд╕рдорд╛рдкреНрдд рдХрд░рддрд╛ рд╣реИ, рд▓реЗрдХрд┐рди рдбреАрдк рдореЙрд░рд▓ рд╕рдВрддреБрд╖реНрдЯрд┐ рдХреЛ рдирд╣реАрдВ рд▓рд╛рддрд╛ рд╣реИ рдХрд┐ рд╕рдм рдХреБрдЫ рд╕рд╣реА рдХрд┐рдпрд╛ рдЧрдпрд╛ рд╣реИред

рд╕рдордп-рд╕рдордп рдкрд░, рдореИрдВ рдкреВрд░реА рддрд░рд╣ рд╕реЗ рд╕реИрджреНрдзрд╛рдВрддрд┐рдХ рдЕрд░реНрдереЛрдВ рдореЗрдВ рдЗрд╕ рд╕рд╡рд╛рд▓ рдкрд░ рд▓реМрдЯ рдЖрдпрд╛ред рдЙрджрд╛рд╣рд░рдг рдХреЗ рд▓рд┐рдП, рдПрдХ рджрд┐рди рдореЗрд░реЗ рджрд┐рдорд╛рдЧ рдореЗрдВ рдпрд╣ рд╡рд┐рдЪрд╛рд░ рдЖрдпрд╛ рдХрд┐ рдПрдХ рдорд╣рдВрдЧреЗ рдирд┐рдпрдВрддреНрд░рдХ рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░рдиреЗ рдХреЗ рдмрдЬрд╛рдп, рдЖрдк рддреАрди STM32F103C8T6 рд▓реЗ рд╕рдХрддреЗ рд╣реИрдВ, рдЬрд┐рд╕рдореЗрдВ рдПрдХ рддреИрдпрд╛рд░ рдмреНрд░реЗрдбрдмреЛрд░реНрдб рдХреА рд▓рд╛рдЧрдд 110 рд░реВрдмрд▓ рд╣реИ, рдЦрд╛рддрд╛ рд╡рд┐рддрд░рдг рдореЗрдВ рд▓реЗрдирд╛, рдФрд░ рдЪрд┐рдк рд╕реНрд╡рдпрдВ рднреА рд╕рд╕реНрддрд╛ рд╣реИред рдЙрдирдореЗрдВ рд╕реЗ рдХреЗрд╡рд▓ рдЗрдВрдЬрди рдирд┐рдпрдВрддреНрд░рдг рдлрд╝рдВрдХреНрд╢рди рдХреЛ рдмрд╛рд╣рд░ рдирд┐рдХрд╛рд▓рдиреЗ рдХреЗ рд▓рд┐рдПред рдЙрд╕реЗ рдЗрд╕ рдлрд╝рдВрдХреНрд╢рди рдкрд░ рдЕрдкрдиреА рд╕рднреА рдХрдВрдкреНрдпреВрдЯрд┐рдВрдЧ рд╢рдХреНрддрд┐ рдЦрд░реНрдЪ рдХрд░рдиреЗ рджреЗрдВред рджреВрд╕рд░реЛрдВ рдХреЗ рдПрдХ рдЬреЛрдбрд╝реЗ (рд╢рд╛рдпрдж рдПрдХ рднреА) рдПрдХ рд╢рд╛рдВрдд рд╡рд╛рддрд╛рд╡рд░рдг рдореЗрдВ рдЕрдиреНрдп рдХрд╛рд░реНрдпреЛрдВ (рдкреНрд░рд╕рдВрд╕реНрдХрд░рдг рдЖрджреЗрд╢, PWM рдХреЗ рд╕рд╛рде рдХрд╛рдо рдХрд░рдирд╛, рддрд╛рдкрдорд╛рди рдмрдирд╛рдП рд░рдЦрдирд╛ рдЖрджрд┐) рдХреЛ рд╣рд▓ рдХрд░рддрд╛ рд╣реИред рдЗрд╕ рд╕рдорд╛рдзрд╛рди рдХрд╛ рдПрдХ рд╡рд┐рд╢рд╛рд▓ рдкрдХреНрд╖ рдкреНрд▓рд╕ рднреА рд╣реИ - рдХрдИ рдирд┐рдпрдВрддреНрд░рдХреЛрдВ рдХреЗ рд▓рд┐рдП рдкрд┐рди рдХреА рдХреБрд▓ рд╕рдВрдЦреНрдпрд╛ рдмрд╕ рдмрд╣реБрдд рдмрдбрд╝реА рд╣реИред рдПрдХ STM32 рдкрд░, рдореБрдЭреЗ рд▓рдВрдмреЗ рд╕рдордп рддрдХ рдПрдХрд╛рдВрдд рдореЗрдВ рд░рд╣рдирд╛ рдерд╛, рдЬрд┐рд╕реЗ рдЯрд╛рдВрдЧрдирд╛ рдерд╛ред рдпрджреНрдпрдкрд┐ рдЯрд╛рдЗрдорд░ рдЖрдЙрдЯрдкреБрдЯ рдХреЗ рдкреИрд░ рдФрд░ рдПрдЖрд░рдПрдо рдХреЗ рдПрдбреАрд╕реА рдкреИрд░ рдкреБрд░рд╛рдиреЗ рдирд┐рдпрдВрддреНрд░рдХреЛрдВ рдХреА рддреБрд▓рдирд╛ рдореЗрдВ рдЕрдзрд┐рдХ рд▓рдЪреАрд▓реЗ рдврдВрдЧ рд╕реЗ рд╕реМрдВрдкреЗ рдЧрдП рд╣реИрдВ (рд╣рд╛рд░реНрдбрд╡реЗрдпрд░ рдЗрдХрд╛рдИ рдХрд╛ рдПрдХ рдЖрдЙрдЯрдкреБрдЯ рдХрдИ рднреМрддрд┐рдХ рдкреИрд░реЛрдВ рдореЗрдВ рд╕реЗ рдПрдХ рдореЗрдВ рдЬрд╛ рд╕рдХрддрд╛ рд╣реИ), рд▓реЗрдХрд┐рди рдЬрдм рдмрд╣реБрдд рддреНрдпрд╛рдЧреА рдХреЛ рддрд╣ рдХрд░рддреЗ рд╣реИрдВ, рддреЛ рдЖрдк рд╕рдордЭрддреЗ рд╣реИрдВ рдХрд┐ рд▓рдЪреАрд▓рд╛рдкрди рдкрд░реНрдпрд╛рдкреНрдд рдирд╣реАрдВ рд╣реЛрдЧрд╛ред рдпрджрд┐ рдХрдИ рдирд┐рдпрдВрддреНрд░рдХ рд╣реИрдВ, рддреЛ рдкрд╕рдВрдж рдмрдврд╝ рдЬрд╛рддреА рд╣реИред рд╕рд╛рдорд╛рдиреНрдп рд░реВрдк рд╕реЗ рд╕реНрдЯреЗрдкрд░ рдореЛрдЯрд░реНрд╕ рдкрд░реЛрд╕рдиреЗ рд╡рд╛рд▓реЗ рдПрдХ рдкрд░, рд╣рдо рдмрд╕ рд╕рднреА рдкреИрд░реЛрдВ рдХреЛ рдбрд┐рдЬрд┐рдЯрд▓ рдЖрдЙрдЯрдкреБрдЯ рдХреЗ рд░реВрдк рдореЗрдВ рдЕрд╕рд╛рдЗрди рдХрд░рддреЗ рд╣реИрдВред рджреВрд╕рд░реЛрдВ рдХреЗ рдкрд╛рд╕ рднреА рдХрд╣рд╛рдБ рдШреВрдордирд╛ рд╣реИред

рдЗрд╕ рджреГрд╖реНрдЯрд┐рдХреЛрдг рдХреЗ рд╕рд╛рде рдПрдХ рд╕рдорд╕реНрдпрд╛ рдпрд╣ рд╣реИ рдХрд┐ рдЗрди рдирд┐рдпрдВрддреНрд░рдХреЛрдВ рдХреЛ рдХреИрд╕реЗ рд╕рд┐рдВрдХреНрд░рдирд╛рдЗрдЬрд╝ рдХрд┐рдпрд╛ рдЬрд╛рдП? рд╕рд┐рджреНрдзрд╛рдВрдд рд░реВрдк рдореЗрдВ, рдореИрдХреНрд╕ рдореИрдХреНрд╕ рдЖрд░рдЯреАрдУрдПрд╕ рдореЗрдВ рд╡рд╣ рд╕рдм рдХреБрдЫ рд╣реЛрддрд╛ рд╣реИ рдЬрд┐рд╕рдХреА рдЖрдкрдХреЛ рдЖрд╡рд╢реНрдпрдХрддрд╛ рд╣реЛрддреА рд╣реИред рдХрдорд╛рдВрдб рд╣реИрдВрдбрд▓рд░ рдЪрд▓рддреА рдкреНрд░рдореБрдЦреЛрдВ рдХреЗ рд▓рд┐рдП рдХрд╛рд░реНрдпреЛрдВ рдХреА рдПрдХ рд╕реВрдЪреА рддреИрдпрд╛рд░ рдХрд░рддрд╛ рд╣реИред рд╕рдордп-рд╕рдордп рдкрд░, рд╡рд╣ рдЙрдиреНрд╣реЗрдВ рд╕рдВрд╢реЛрдзрд┐рдд рдХрд░рддрд╛ рд╣реИ (рдирдП рдЖрдиреЗ рд╡рд╛рд▓реЗ рдХрд╛рд░реНрдпреЛрдВ рдХреЗ рд╕рд╛рде рддрд╛рд▓рдореЗрд▓ рд╕рдордиреНрд╡рдп рдХрд░рдХреЗ)ред рддреЛ рд╢реЗрдкрд░ рдФрд░ рдкрд░рдлреЙрд░реНрдорд░ рдХреЗ рд▓рд┐рдП рдореЗрдореЛрд░реА рд╢реЗрдпрд░ рдХреА рдЬрд╛рдиреА рдЪрд╛рд╣рд┐рдПред RTOS MAX рдореЗрдВ рдРрд╕реА рд╕рд╛рдЭрд╛ рдореЗрдореЛрд░реА рдХреЛ рд╡реНрдпрд╡рд╕реНрдерд┐рдд рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рдХрд╛рд░реНрдпрдХреНрд╖рдорддрд╛ рд╣реИред рдореИрдВрдиреЗ рдЗрд╕реЗ рдпрд╣рд╛рдВ рд╡рд░реНрдгрд┐рдд рдХрд┐рдпрд╛ рд╣реИ (рдПрдХ рд░реВрд╕реА рдЖрд░рдЯреАрдУрдПрд╕ рдХрд╛ рдЕрд╡рд▓реЛрдХрди, рднрд╛рдЧ 7. рдХрд╛рд░реНрдпреЛрдВ рдХреЗ рдмреАрдЪ рдбреЗрдЯрд╛ рдХреЗ рдЖрджрд╛рди-рдкреНрд░рджрд╛рди рдХреЗ рд╕рд╛рдзрди)ред рд▓реЗрдХрд┐рди рд╡реНрдпрд╡рд╣рд╛рд░ рдореЗрдВ, рдПрдХ рдЕрддрд┐ рд╕реВрдХреНрд╖реНрдо рдЕрдВрддрд░ рд╕рдм рдХреБрдЫ рдЦрд░рд╛рдм рдХрд░ рджреЗрддрд╛ рд╣реИ: рд╕реНрдЯреЗрдкрд░ рдореЛрдЯрд░реНрд╕ рдХреА рд╕рд░реНрд╡рд┐рд╕рд┐рдВрдЧ рдПрдХ рд╕рдордп-рдорд╣рддреНрд╡рдкреВрд░реНрдг рдкреНрд░рдХрд╛рд░ рдХрд╛ рдХрд╛рд░реНрдп рд╣реИред рдереЛрдбрд╝реА рд╕реА рднреА рджреЗрд░реА, рдФрд░ рд╣рдореЗрдВ рдПрдХ 3D рдкреНрд░рд┐рдВрдЯрд░ рдХреЗ рд▓рд┐рдП рдкреНрд▓рд╛рд╕реНрдЯрд┐рдХ рдХреЗ рдлреНрд▓реЛ рдорд┐рд▓рддреЗ рд╣реИрдВ, рдЕрдиреНрдп рд╕реАрдПрдирд╕реА рдорд╢реАрдиреЛрдВ рдХреЗ рд▓рд┐рдП - рдареАрдХ рд╣реИ, рдЙрджрд╛рд╣рд░рдг рдХреЗ рд▓рд┐рдП, рдЧрд▓рдд рддрд░реАрдХреЗ рд╕реЗ рдереНрд░реЗрдбреЗрдб рдзрд╛рдЧреЗред рд╕реАрд░рд┐рдпрд▓ рдЗрдВрдЯрд░рдлреЗрд╕ рдХреЗ рдорд╛рдзреНрдпрдо рд╕реЗ рдХреЛрдИ рднреА рд╕рдВрдЪрд╛рд░ рд╕рдмрд╕реЗ рддреЗрдЬрд╝ рдирд╣реАрдВ рд╣реИред рдкреНрд▓рд╕ - рдордзреНрдпрд╕реНрдерддрд╛ рдФрд░ рдЕрдиреНрдп рдЖрдзрд┐рдХрд╛рд░рд┐рдХ рдЬрд░реВрд░рддреЛрдВ рдХреЗ рд▓рд┐рдП рд╕рдордпред рдФрд░ рдпрд╣ рдкрддрд╛ рдЪрд▓рд╛ рд╣реИ рдХрд┐ рдореБрдЦреНрдп рдкреНрд░реЛрд╕реЗрд╕рд░ рд╕реЗ рдХрд╛рд░реНрдпрдХреНрд╖рдорддрд╛ рдХреЛ рд╣рдЯрд╛рдиреЗ рд╕реЗ рд╕рднреА рд▓рд╛рдн рдУрд╡рд░рд╣реЗрдб рд╣реЛ рдЬрд╛рддреЗ рд╣реИрдВред рдмреЗрд╢рдХ, рдореИрдВрдиреЗ рдЕрдкрдиреА рдЖрдзрд┐рдХрд╛рд░рд┐рдХ рд╕реНрдерд┐рддрд┐ рдХрд╛ рд▓рд╛рдн рдЙрдард╛рдпрд╛: рдореИрдВрдиреЗ рдЗрд╕ рдЙрдк-рдкреНрд░рдгрд╛рд▓реА рдХреЗ рдбреЗрд╡рд▓рдкрд░реНрд╕ рдХреЗ рд╕рд╛рде рдЗрд╕ рдореБрджреНрджреЗ рдкрд░ рдЪрд░реНрдЪрд╛ рдХреАред рдЕрдлрд╕реЛрд╕ред рдЙрдиреНрд╣реЛрдВрдиреЗ рдХрд╣рд╛ рдХрд┐ рдУрдПрд╕ рдореЗрдВ рдмрд╣реБрдд рдЕрдзрд┐рдХ рдУрд╡рд░рд╣реЗрдб рдХреЗ рдмрд┐рдирд╛ рд╕рд┐рдВрдХреНрд░рдирд╛рдЗрдЬрд╝реЗрд╢рди рд╣реИ, рд▓реЗрдХрд┐рди рдЙрди рдЙрдкрдХрд░рдгреЛрдВ рдХреЗ рд▓рд┐рдП рдЬреЛ рд╕рдВрдмрдВрдзрд┐рдд рдмрд╕реЛрдВ рдХрд╛ рд╕рдорд░реНрдерди рдХрд░рддреЗ рд╣реИрдВред рдЕрдм, рдЕрдЧрд░ рдореИрдВ рдПрдХ рдЖрдзрд╛рд░ рдХреЗ рд░реВрдк рдореЗрдВ рдЯрд╛рдЗрдЧрд░рд╢рд╛рд░реНрдХ рд╡рд╛рд╕реНрддреБрдХрд▓рд╛ рд▓реЗрддрд╛ рд╣реВрдВ, рддреЛ рдУрдПрд╕ рдореЗрд░реЗ рд▓рд┐рдП рд╕рдм рдХреБрдЫ рдмрд┐рдирд╛ рдХрд┐рд╕реА рдУрд╡рд░рд╣реЗрдб рдХреЗ рд╡реНрдпрд╡рд╕реНрдерд┐рдд рдХрд░реЗрдЧрд╛ред рдХреЗрд╡рд▓ рдЗрд╕ рдЖрд░реНрдХрд┐рдЯреЗрдХреНрдЪрд░ рдХреЗ рдЕрдиреБрд╕рд╛рд░ рдмрдирд╛рдП рдЧрдП рдирд┐рдпрдВрддреНрд░рдХ рдкреВрд░реЗ 3 рдбреА рдкреНрд░рд┐рдВрдЯрд░ рдХреА рддреБрд▓рдирд╛ рдореЗрдВ рдХрдИ рдЧреБрдирд╛ рдЕрдзрд┐рдХ рдорд╣рдВрдЧреЗ рд╣реИрдВ рдЬрд┐рдиреНрд╣реЗрдВ рдореИрдВ рдЗрд╕рдореЗрдВ рдбрд╛рд▓рдирд╛ рдЪрд╛рд╣рддрд╛ рдерд╛ред рд╕рд╛рдорд╛рдиреНрдп рддреМрд░ рдкрд░, рдлрд┐рд░ рд╕реЗ рдЕрд╕реНрд╡реАрдХрд╛рд░реНрдпред

рд╣рдо рдПрдХ рдкреНрд░рдЪрд▓рд┐рдд рдкрд░рд┐рдЪрдп рдХреЗ рдЕрдВрдд рддрдХ рдкрд╣реБрдБрдЪрддреЗ рд╣реИрдВред рдХреЛрдИ рдХрд╣реЗрдЧрд╛ рдХрд┐ рдХрд┐рд╕реА рдХрд╛рд░рдг рд╕реЗ рдореИрдВ рдЕрднреА рднреА рдПрдХ рд╕рдлреЗрдж рдШреЛрдбрд╝реЗ рдкрд░ рдПрдХ рд░рд╛рдЬрдХреБрдорд╛рд░ рдХреА рддрд▓рд╛рд╢ рдХрд░ рд░рд╣рд╛ рд╣реВрдВред рдЖрдк рдУрдПрд╕ рдХреЗ рдмрд┐рдирд╛ рд╕рдм рдХреБрдЫ рд▓реЗ рд╕рдХрддреЗ рд╣реИрдВ рдФрд░ рдХрд░ рд╕рдХрддреЗ рд╣реИрдВ, рдФрд░ рдпрд╣рд╛рдВ рдореИрдВ рд╕рднреА рдкреНрд░рдХрд╛рд░ рдХреЗ рд╡рд┐рдХрд▓реНрдкреЛрдВ рдкрд░ рд╡рд┐рдЪрд╛рд░ рдХрд░ рд░рд╣рд╛ рд╣реВрдВ ... рдЖрдк рдХрд░ рд╕рдХрддреЗ рд╣реИрдВ, рд▓реЗрдХрд┐рди рдЖрдк рдХрд░ рд╕рдХрддреЗ рд╣реИрдВ, рд▓реЗрдХрд┐рди рдЬрдм рд╡реНрдпрд╛рд╡рд╣рд╛рд░рд┐рдХ рд╕рдорд╕реНрдпрд╛ "рдкреНрд░рд┐рдВрдЯрд░ рдХреЗ рджреБрд░реНрдШрдЯрдирд╛рдЧреНрд░рд╕реНрдд рд╣реЛрдиреЗ рд╕реЗ рдердХ рдЧрдИ" рдкреИрджрд╛ рд╣реБрдИ, рддреЛ рдпрд╣ рдЬрд▓реНрджреА рд╕реЗ рддрдп рд╣реЛ рдЧрдпрд╛ред рд╡рд╣ рд╕рдм рд╣реИред рд╡рд╣ рдФрд░ рдирд╣реАрдВ рд╣реИред рдЗрд╕рдХреЗ рдЕрд▓рд╛рд╡рд╛, рддрдм рд╕реЗ рдирдП рд╕реНрдЯреЗрдкрд░ рдореЛрдЯрд░ рдбреНрд░рд╛рдЗрд╡рд░ рджрд┐рдЦрд╛рдИ рджрд┐рдП рд╣реИрдВ рдЬреЛ рдЖрдо рддреМрд░ рдкрд░ рд╕рдорд╕реНрдпрд╛ рдХреЛ рдкреВрд░реА рддрд░рд╣ рд╕реЗ рдЕрд▓рдЧ рддрд░реАрдХреЗ рд╕реЗ рд╣рд▓ рдХрд░рддреЗ рд╣реИрдВ (рд╡реЗ рдПрдХ рдорд╛рдЗрдХреНрд░реЛрд╕реНрдЯреЗрдк 1/16 рдкреНрд░рд╛рдкреНрдд рдХрд░рддреЗ рд╣реИрдВ, рдФрд░ 1/256 рдмрд╛рд╣рд░ рджреЗрддреЗ рд╣реИрдВ)ред рдФрд░ рдЗрд╕ рдкрд░рд┐рдЪрдп рдореЗрдВ, рдореИрдВ рд╕рдЯреАрдХ рд╡рд░реНрдгрди рдХрд░рддрд╛ рд╣реВрдВ рдХрд┐ "рд▓рдЧрд╛рддрд╛рд░ рд░реБрдХрд╛рд╡рдЯреЛрдВ рдХреА рд╕рдорд╕реНрдпрд╛ рдХрд╛ рдХреЛрдИ рд╕реБрдВрджрд░ рд╕рдорд╛рдзрд╛рди рдирд╣реАрдВ рд╣реИред" рдПрдХ рдмрджрд╕реВрд░рдд рдирд┐рд░реНрдгрдп рд▓рдВрдмреЗ рд╕рдордп рд╕реЗ рдХрд┐рдпрд╛ рдЧрдпрд╛ рд╣реИред рдореИрдВ рдЕрдиреНрдп рдмрджрд╕реВрд░рдд рдлреИрд╕рд▓реЛрдВ рдХреА рдЬрд╛рдБрдЪ рдореЗрдВ рд╕рдордп рдмрд░реНрдмрд╛рдж рдирд╣реАрдВ рдХрд░рдирд╛ рдЪрд╛рд╣рддрд╛ рдерд╛ред рдЙрдиреНрд╣реЛрдВрдиреЗ рд╕рд┐рд░реНрдл рдореЗрд░реЗ рд╕рд┐рд░ рдореЗрдВ рд╕реНрдХреНрд░реЙрд▓ рдХрд┐рдпрд╛ред

рд▓реЗрдХрд┐рди рдЬрдм рдореИрдВрдиреЗ рдпреВрдбреАрдмреА рдмреНрд▓реЙрдХреЛрдВ рд╕реЗ рдирд┐рдкрдЯрд╛, рддреЛ рдореБрдЭреЗ рд▓рдЧрд╛ рдХрд┐ рд╕рдорд╕реНрдпрд╛ рдХреЛ рдЦреВрдмрд╕реВрд░рддреА рдФрд░ рдирд╛рдЯрдХреАрдп рд░реВрдк рд╕реЗ рд╣рд▓ рдХрд┐рдпрд╛ рдЬрд╛ рд╕рдХрддрд╛ рд╣реИред рдЖрдк рдореБрдЦреНрдп рдкреНрд░реЛрд╕реЗрд╕рд░ рдХреЗ рд╡рд┐рд╡реЗрдХ рдкрд░ рдХрдВрдкреНрдпреВрдЯрд┐рдВрдЧ рднрд╛рдЧ рдХреЛ рдЫреЛрдбрд╝рдХрд░, рд╕реЙрдлреНрдЯрд╡реЗрдпрд░ рд╕реЗ рдлрд░реНрдорд╡реЗрдпрд░ рд╕реНрддрд░ рддрдХ рд░реБрдХрд╛рд╡рдЯреЛрдВ рдХрд╛ рдкреНрд░рд╕рдВрд╕реНрдХрд░рдг рдХрд░ рд╕рдХрддреЗ рд╣реИрдВред рдХреЛрдИ рдЕрддрд┐рд░рд┐рдХреНрдд рдирд┐рдпрдВрддреНрд░рдХреЛрдВ рдХреА рдЬрд░реВрд░рдд рд╣реИ! рд╕рдм рдХреБрдЫ рдПрдХ рд╣реА рдЪрд┐рдк рдкрд░ рд░рдЦрд╛ рдЧрдпрд╛ рд╣реИ! рддреЛ, рдЪрд▓рд┐рдП рд╢реБрд░реВ рдХрд░рддреЗ рд╣реИрдВред

рдПрдХ рдирд┐рд░реНрд╡рд╛рдд рдореЗрдВ рдЧреЛрд▓рд╛рдХрд╛рд░ рдШреЛрдбрд╝рд╛


рдЗрд╕ рд▓реЗрдЦ рдореЗрдВ, UDB рдХреЗ рд╕рд╛рде рдХрд╛рдо рдХрд░рдирд╛ рдЕрдкрдиреЗ рдЖрдк рдореЗрдВ рд╕рдмрд╕реЗ рдЖрдЧреЗ рд╣реЛрдЧрд╛ред рдЕрдЧрд░ рдореИрдВрдиреЗ рдПрдХ рд╡рд┐рд╢рд┐рд╖реНрдЯ "рдлрд░реНрдорд╡реЗрдпрд░" рдХреЗ рд╕рд╛рде рдмрдВрдзреЗ рд╣реЛрдиреЗ рдХреЗ рдмрд╛рд░реЗ рдореЗрдВ рдмрд╛рдд рдХреА, рддреЛ рд╡реЗ рдореБрдЭреЗ рдареАрдХ рд╕реЗ рдЗрдВрдЧрд┐рдд рдХрд░ рд╕рдХрддреЗ рд╣реИрдВ рдХрд┐ рдореБрдЭреЗ рд╣рдм рдХреЗ рд╕рд╛рде рдЧрд▓рдд рдХрд┐рдпрд╛ рдЧрдпрд╛ рдерд╛ред рдпрд╣ GeekTimes рдХреЗ рд▓рд┐рдП рдХреНрдпрд╛ рд╣реИред рдЗрд╕рд▓рд┐рдП, UDB рдкреНрд░рд╛рдердорд┐рдХ рд╣реИ, рдФрд░ рд╕реНрдЯреЗрдкрд░ рдореЛрдЯрд░реНрд╕ рдЙрджрд╛рд╣рд░рдг рдХреЗ рд▓рд┐рдП рдХреЗрд╡рд▓ рдПрдХ рд╕реБрдВрджрд░ рдЪреАрдЬ рд╣реИред рдЗрд╕ рднрд╛рдЧ рдореЗрдВ рдореИрдВ рдЖрдорддреМрд░ рдкрд░ рдПрдХ рд╡реИрдХреНрдпреВрдо рдореЗрдВ рдПрдХ рдЧреЛрд▓рд╛рдХрд╛рд░ рдШреЛрдбрд╝рд╛ рдмрдирд╛рдКрдВрдЧрд╛ред рдЙрд╕рдХреЗ рдкрд╛рд╕ рд╡реНрдпрд╛рд╡рд╣рд╛рд░рд┐рдХ рдХрдорд┐рдпрд╛рдВ рд╣реЛрдВрдЧреА, рдЬрд┐рдиреНрд╣реЗрдВ рдореИрдВ рджреВрд╕рд░реЗ рднрд╛рдЧ рдореЗрдВ рд╕рдорд╛рдкреНрдд рдХрд░ рджреВрдВрдЧрд╛ред рд▓реЗрдХрд┐рди рдореЗрд░реЗ рдХрд╛рд░реНрдпреЛрдВ рдХреЛ рджреЛрд╣рд░рд╛рддреЗ рд╣реБрдП, рдкрд╛рдардХ UDB рдХреЗ рд▓рд┐рдП рдлрд░реНрдорд╡реЗрдпрд░ рд╡рд┐рдХрд╕рд┐рдд рдХрд░рдиреЗ рдХреА рдкрджреНрдзрддрд┐ рдореЗрдВ рдорд╣рд╛рд░рдд рд╣рд╛рд╕рд┐рд▓ рдХрд░рдиреЗ рдореЗрдВ рд╕рдХреНрд╖рдо рд╣реЛрдВрдЧреЗред

So. рд╕реНрдЯреЗрдкрд░ рдореЛрдЯрд░ рдирд┐рдпрдВрддреНрд░рдг рддрдВрддреНрд░ рдХреИрд╕реЗ рдХрд╛рдо рдХрд░рддрд╛ рд╣реИ? рдПрдХ рдРрд╕рд╛ рдХрд╛рд░реНрдп рд╣реИ рдЬреЛ рдЙрди рдЦрдВрдбреЛрдВ рдХреЛ рдзреНрдпрд╛рди рдореЗрдВ рд░рдЦрддрд╛ рд╣реИ рдЬрд┐рдиреНрд╣реЗрдВ рд╕рд┐рд░ рдХреЛ рд░реИрдЦрд┐рдХ рдЧрддрд┐ рд╕реЗ рдЧреБрдЬрд░рдирд╛ рдЪрд╛рд╣рд┐рдПред рдЕрдм рддрдХ, рдореИрдВ рдпрд╣ рджрд┐рдЦрд╛рд╡рд╛ рдХрд░реВрдВрдЧрд╛ рдХрд┐ рдореБрдЭреЗ рдЦрдВрдб рдХреЗ рдЖрд░рдВрдн рдФрд░ рдЕрдВрдд рдореЗрдВ рддреНрд╡рд░рдг рдХреЗ рдмрд╛рд░реЗ рдореЗрдВ рдпрд╛рдж рдирд╣реАрдВ рд╣реИред рдмрд╕ рд╕рд┐рд░ рдХреЛ рдЧреБрдЬрд░рдирд╛ рдЪрд╛рд╣рд┐рдПред рдирдП рдЦрдВрдб рдХрддрд╛рд░ рдХреА рдкреВрдВрдЫ рдореЗрдВ рд▓рдЧрд╛рдП рдЬрд╛рддреЗ рд╣реИрдВред рд╕рд┐рд░ рд╕реЗ рд░рд┐рдХреЙрд░реНрдбрд┐рдВрдЧ рдХреЗ рдЖрдзрд╛рд░ рдкрд░, рдПрдХ рдЕрд▓рдЧ рдХрд╛рд░реНрдп рд╕рднреА рд╕рдХреНрд░рд┐рдп рдЗрдВрдЬрдиреЛрдВ рдХреЛ STEP рд╕рд┐рдЧреНрдирд▓ рднреЗрдЬрддрд╛ рд╣реИред

рдмрддрд╛ рджреЗрдВ рдХрд┐ рдкреНрд░рд┐рдВрдЯрд░ рдХреА рд╣реЗрдб рд╣реЗрдб рд╕реНрдкреАрдб 200 mm / s рд╣реИред 1 рдорд┐рд▓реАрдореАрдЯрд░ рдкреНрд░рддрд┐ рдЖрдВрджреЛрд▓рди рдХреЗ рд▓рд┐рдП 200 рдЪрд░рдгреЛрдВ рдХреА рдЖрд╡рд╢реНрдпрдХрддрд╛ рд╣реЛрддреА рд╣реИ (рдпрд╣ рдЖрдВрдХрдбрд╝рд╛ рдПрдХ рд╡рд╛рд╕реНрддрд╡рд┐рдХ рдкреНрд░рд┐рдВрдЯрд░ MZ3D-256C microstep 1/32 рдХреЗ рд╕рд╛рде рдореЗрд▓ рдЦрд╛рддрд╛ рд╣реИ)ред рдлрд┐рд░ рджрд╛рд▓реЛрдВ рдХреЛ 200 * 200 = 40,000 рд╣рд░реНрдЯреНрдЬ = 40 KHz рддрдХ рдХреА рдЖрд╡реГрддреНрддрд┐ рдХреЗ рд╕рд╛рде рдЖрдкреВрд░реНрддрд┐ рдХреА рдЬрд╛рдиреА рдЪрд╛рд╣рд┐рдПред рдпрд╣ рдРрд╕реА рдЖрд╡реГрддреНрддрд┐ рдХреЗ рд╕рд╛рде рд╣реИ рдХрд┐ рдХрджрдо рджрд╛рд▓ рднреЗрдЬрдиреЗ рд╡рд╛рд▓реЗ рдХрд╛рд░реНрдп рдХреЛ рдЕрдЪреНрдЫреА рддрд░рд╣ рд╕реЗ рдмреБрд▓рд╛рдпрд╛ рдЬрд╛ рд╕рдХрддрд╛ рд╣реИред рдЗрд╕реЗ рдкреНрд░реЛрдЧреНрд░рд╛рдореЗрдЯрд┐рдХ рд░реВрдк рд╕реЗ рджрд╛рд▓реЛрдВ рдХреЛ рд╕реНрд╡рдпрдВ рдмрдирд╛рдирд╛ рдЪрд╛рд╣рд┐рдП, рдФрд░ рдпрд╣ рднреА рдЧрдгрдирд╛ рдХрд░рдирд╛ рдЪрд╛рд╣рд┐рдП рдХрд┐ рдЗрд╕рдХреЗ рдмрд╛рдж рд╕рдХреНрд░рд┐рдп рд╣реЛрдиреЗ рд╡рд╛рд▓реЗ рдЕрдЧрд▓реЗ рд░реБрдХрд╛рд╡рдЯ рдХреЛ рдХрдм рддрдХ рдХрд╣рд╛ рдЬрд╛рдирд╛ рдЪрд╛рд╣рд┐рдПред

рдореБрдЭреЗ рдХреЛрд▓реЛрдмреЛрдХ рдФрд░ рдереНрд░реА рдмреЛрдЧрд╛рдЯрд┐рдПрд░ рдХреЗ рдмрд╛рд░реЗ рдореЗрдВ рдПрдХ рдЪреБрдЯрдХреБрд▓рд╛ рдпрд╛рдж рдЖрддрд╛ рд╣реИ, рдЬрд╣рд╛рдБ рдХреЛрд▓реЛрдмреЛрдХ рдиреЗ рдмреЛрдЧрд╛рдЯрд┐рдПрд░рд╕ рдХреЛ рд▓рдЧрд╛рддрд╛рд░ рдмрдзрд╛рдИ рджреА, рдлрд┐рд░ рдЙрдирд╕реЗ рд▓рдЧрд╛рддрд╛рд░ рд╕рд╡рд╛рд▓ рдкреВрдЫреЗ рдФрд░ рдЬрд╡рд╛рдм рднреА рдкреНрд░рд╛рдкреНрдд рдХрд┐рдПред рдлрд┐рд░ рдХреНрд░рдорд┐рдХ рд░реВрдк рд╕реЗ рдЙрдиреНрд╣реЗрдВ рдЕрд▓рд╡рд┐рджрд╛ рдХрд╣рд╛ред рдЦреИрд░, рдлрд┐рд░ рдЙрдирдХреА рдореБрд▓рд╛рдХрд╛рдд рдерд░реНрдЯреА рдереНрд░реА рдирд╛рдЗрдЯреНрд╕ рд╕реЗ рд╣реБрдИред рдкреНрд░реЛрд╕реЗрд╕рд░ рдПрдХ рдмрди рдХреА рднреВрдорд┐рдХрд╛ рдореЗрдВ рд╣реИ, рдФрд░ рд╕реНрдЯреЗрдкрд░ рдореЛрдЯрд░реНрд╕ рдмреЛрдЧрд╛рдЯрд┐рдПрд░ рдХреА рднреВрдорд┐рдХрд╛ рдореЗрдВ рд╣реИрдВред рдпрд╣ рд╕реНрдкрд╖реНрдЯ рд╣реИ рдХрд┐ рдмрдбрд╝реА рд╕рдВрдЦреНрдпрд╛ рдореЗрдВ рдпреВрдбреАрдмреА рдмреНрд▓реЙрдХреЛрдВ рдХреА рдЙрдкрд╕реНрдерд┐рддрд┐ рдореЗрдВ, рдЗрдВрдЬрди рдХреЗ рд╕рд╛рде рдХрд╛рдо рдХреЛ рд╕рдорд╛рдирд╛рдВрддрд░ рдХрд░рдирд╛ рд╕рдВрднрд╡ рд╣реИ, рдкреНрд░рддреНрдпреЗрдХ рдЗрдВрдЬрди рдЕрдкрдиреЗ рдмреНрд▓реЙрдХ рдореЗрдВ рд╕реЗрд╡рд┐рдд рд╣реИред рдФрд░ рдЪреВрдВрдХрд┐ рд╣рдорд╛рд░реЗ рдкрд╛рд╕ рд╕реЗрдЧрдореЗрдВрдЯ рд╣реИрдВ, рдЬрд┐рд╕рдХреЗ рджреМрд░рд╛рди рдЗрдВрдЬрди рд╕рдорд╛рди рд░реВрдк рд╕реЗ рдЖрдЧреЗ рдмрдврд╝реЗрдВрдЧреЗ, рдЖрдЗрдП рдЗрд╕ рддрд░рд╣ рдХреЗ рд▓реЗрдирджреЗрди рдХреЗ рд╕рд╛рде рдЙрдкрдХрд░рдг рдмрдирд╛рдиреЗ рдХрд╛ рдкреНрд░рдпрд╛рд╕ рдХрд░реЗрдВ, рди рдХрд┐ рд╣рд░ рдХрджрдо рдХреЗ рд╕рд╛рдеред

рдПрдХ рд╡реИрдХреНрдпреВрдо рдореЗрдВ рд░реИрдЦрд┐рдХ рдЦрдВрдб рдХреЛ рдкрд╛рд░ рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рдПрдХ рдЧреЛрд▓рд╛рдХрд╛рд░ рдШреЛрдбрд╝реЗ рдХреЗ рд▓рд┐рдП рдХреНрдпрд╛ рдЬрд╛рдирдХрд╛рд░реА рдЖрд╡рд╢реНрдпрдХ рд╣реИ?

  • рдЪрд░рдгреЛрдВ рдХреА рд╕рдВрдЦреНрдпрд╛ред
  • рдЪрд░рдгреЛрдВ рдХреЗ рдмреАрдЪ рдХреА рдЕрд╡рдзрд┐ред

рджреЛ рдкреИрд░рд╛рдореАрдЯрд░ред UDB рдореЗрдВ рдХреЗрд╡рд▓ рджреЛ рдмреИрдЯрд░рд┐рдпрд╛рдВ рдФрд░ рджреЛ рдкреИрд░рд╛рдореАрдЯрд░ D0 рдФрд░ D1 рдХреЗ рд░рдЬрд┐рд╕реНрдЯрд░ рд╣реИрдВред рдРрд╕рд╛ рд▓рдЧрддрд╛ рд╣реИ рдХрд┐ рд╕рдм рдХреБрдЫ рд╕рд╛рдХрд╛рд░ рд╣реИред рд╣рдо рдХреЗрд╡рд▓ рдЙрд╕ рдЧрд╣рд░рд╛рдИ рдХрд╛ рдЕрдиреБрдорд╛рди рд▓рдЧрд╛рддреЗ рд╣реИрдВ рдЬреЛ рдЗрди рд░рдЬрд┐рд╕реНрдЯрд░реЛрдВ рдореЗрдВ рд╣реЛрдиреА рдЪрд╛рд╣рд┐рдПред

рд╕рдмрд╕реЗ рдкрд╣рд▓реЗ, рдЪрд░рдгреЛрдВ рдХреА рд╕рдВрдЦреНрдпрд╛ред рдпрджрд┐ 8 рдЕрдВрдХ рд╣реИрдВ, рддреЛ рдПрдХ рдпреВрдбреАрдмреА рдСрдкрд░реЗрд╢рди рдореЗрдВ, рдкреНрд░рд┐рдВрдЯрд░ рдХрд╛рд░реНрдЯреЗрд╢рд┐рдпрди рдкреНрд░рд┐рдВрдЯрд░ рдХреЗ рд╕рд┐рд░ рдХреЛ 1 рдорд┐рдореА (200 рдорд╛рдЗрдХреНрд░реЛ-рд╕реНрдЯреЗрдкреНрд╕) рд╕реЗ рдереЛрдбрд╝рд╛ рдЕрдзрд┐рдХ рд╕реНрдерд╛рдирд╛рдВрддрд░рд┐рдд рдХрд░рдиреЗ рдореЗрдВ рд╕рдХреНрд╖рдо рд╣реЛрдЧрд╛ред рдкрд░реНрдпрд╛рдкреНрдд рдирд╣реАрдВ рд╣реИред рдпрджрд┐ рдХреНрд╖рдорддрд╛ 16 рдмрд┐рдЯреНрд╕ рд╣реИ, рддреЛ рдЪрд░рдгреЛрдВ рдХреА рд╕рдВрдЦреНрдпрд╛ 65536 рд╣реЛрдЧреАред рдпрд╣ 65536/200 = 327 рдорд┐рд▓реАрдореАрдЯрд░ рд╣реИред рдЕрдзрд┐рдХрд╛рдВрд╢ рдореЙрдбрд▓реЛрдВ рдХреЗ рд▓рд┐рдП рд╕реНрд╡реАрдХрд╛рд░реНрдп рд╣реИред рдХреЛрд░, рдбреЗрд▓реНрдЯрд╛ рдФрд░ рдЕрдиреНрдп рдХреЗ рд▓рд┐рдП рдпрд╣ рдЕрдиреБрдорд╛рди рд▓рдЧрд╛рдирд╛ рдЖрд╡рд╢реНрдпрдХ рд╣реИ, рд▓реЗрдХрд┐рди рдПрдХ рдкреВрд░реЗ рдХреЗ рд░реВрдк рдореЗрдВ - рдПрдХ рдкреВрд░реНрдг рд╕реНрдЯреНрд░реЛрдХ рдХреЗ рд▓рд┐рдП рдЦрдВрдб рдХреЛ рдХрдИ рднрд╛рдЧреЛрдВ рдореЗрдВ рд╡рд┐рднрд╛рдЬрд┐рдд рдХрд┐рдпрд╛ рдЬрд╛ рд╕рдХрддрд╛ рд╣реИред рдЗрддрдиреЗ (рджреЛ, рдареАрдХ рд╣реИ, рдЕрдзрд┐рдХрддрдо рддреАрди) рдирд╣реАрдВ рд╣реЛрдВрдЧреЗред

рдЕрдм рдХрд╛рд▓ред рдЪрд▓реЛ рдШрдбрд╝реА рдХреА рдЖрд╡реГрддреНрддрд┐ 48 рдореЗрдЧрд╛рд╣рд░реНрдЯреНрдЬ рд╣реИред 48000000/65536 = 732ред рдпрд╣реА рд╣реИ, 16-рдмрд┐рдЯ рдбрд┐рд╡рд╛рдЗрдбрд░ рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░рдХреЗ рдкреНрд░рд╛рдкреНрдд рдХреА рдЬрд╛ рд╕рдХрдиреЗ рд╡рд╛рд▓реА рдиреНрдпреВрдирддрдо рд╕реНрд╡реАрдХрд╛рд░реНрдп рдЖрд╡реГрддреНрддрд┐ 732 рд╣рд░реНрдЯреНрдЬ рд╣реИред рдмрд╣реБрдд рдЬреНрдпрд╛рджрд╛ред рдорд╛рд░реНрд▓рд┐рди рдлрд╝рд░реНрдорд╡реЗрдпрд░ рдореЗрдВ, рдиреНрдпреВрдирддрдо 120 рд╣рд░реНрдЯреНрдЬ рд╣реИ (рдЬреЛ рд▓рдЧрднрдЧ рд╕реНрдерд┐рд░ 65536 рджреНрд╡рд╛рд░рд╛ рд╡рд┐рднрд╛рдЬрд┐рдд 8 рдореЗрдЧрд╛рд╣рд░реНрдЯреНрдЬ рд╕реЗ рдореЗрд▓ рдЦрд╛рддрд╛ рд╣реИ)ред рд╣рдореЗрдВ рд░рдЬрд┐рд╕реНрдЯрд░реЛрдВ рдХреЛ 24 рдмрд┐рдЯ рдХрд░рдирд╛ рд╣реЛрдЧрд╛ред рдлрд┐рд░ рдиреНрдпреВрдирддрдо рдЖрд╡реГрддреНрддрд┐ 48000000 / (2 ^ 24) = 48000000/16777216 = 2.861 рд╣рд░реНрдЯреНрдЬ рдХреЗ рдмрд░рд╛рдмрд░ рд╣реЛрдЧреАред

рд╕рдм рдареАрдХ рд╣реИред рдмреЛрд░рд┐рдВрдЧ рд╕рд┐рджреНрдзрд╛рдВрдд рдмрдВрдж рдХрд░реЛ! рдЪрд▓реЛ рдЕрднреНрдпрд╛рд╕ рдХреЗ рд▓рд┐рдП рдЖрдЧреЗ рдмрдврд╝реЗрдВ! PSoC рдирд┐рд░реНрдорд╛рддрд╛ рд▓реЙрдиреНрдЪ рдХрд░реЗрдВ рдФрд░ рдлрд╝рд╛рдЗрд▓-> рдирдпрд╛-> рдкреНрд░реЛрдЬреЗрдХреНрдЯ рдЪреБрдиреЗрдВ:



рдЗрд╕рдХреЗ рдмрд╛рдж, рдореЗрд░реЗ рдкрд╛рд╕ рдореЗрд░реЗ рджреНрд╡рд╛рд░рд╛ рдЪрдпрдирд┐рдд рдмреНрд░реЗрдбрдмреЛрд░реНрдб рд╣реИ, рдЬрд┐рд╕рд╕реЗ рдкрд░реНрдпрд╛рд╡рд░рдг рдЙрдкрдпреЛрдЧ рдХрд┐рдП рдЧрдП рдирд┐рдпрдВрддреНрд░рдХ рдФрд░ рдЙрд╕рдХреА рд╕реЗрдЯрд┐рдВрдЧреНрд╕ рдХреЗ рдмрд╛рд░реЗ рдореЗрдВ рдмреБрдирд┐рдпрд╛рджреА рдЬрд╛рдирдХрд╛рд░реА рд▓реЗрдЧрд╛:



рдореИрдВ рдкрд╣рд▓реЗ рд╕реЗ рд╣реА рдЦрд░реЛрдВрдЪ рд╕реЗ рдПрдХ рдкрд░рд┐рдпреЛрдЬрдирд╛ рдмрдирд╛рдиреЗ рдХреЗ рд▓рд┐рдП рддреИрдпрд╛рд░ рдорд╣рд╕реВрд╕ рдХрд░рддрд╛ рд╣реВрдВ, рдЗрд╕рд▓рд┐рдП рдореИрдВ рдЦрд╛рд▓реА рдпреЛрдЬрдирд╛рдмрджреНрдз рдХрд╛ рдЪрдпрди рдХрд░рддрд╛ рд╣реВрдВ:



рдХрд╛рд░реНрдп рдкрд░рд┐рд╡реЗрд╢ рдХреЛ PSoC3DTest рдирд╛рдо рджреЗрдВ :



рдФрд░ рдпрд╣рд╛рдБ рд╡рд╣ рд╣реИ, рдПрдХ рддреИрдпрд╛рд░ рдкрд░рд┐рдпреЛрдЬрдирд╛!



рдкрд╣рд▓реА рдЪреАрдЬ рдЬреЛ рдореИрдВ рдХрд░рдирд╛ рдЪрд╛рд╣рддрд╛ рд╣реВрдВ рд╡рд╣ UDB рдХреЗ рдЖрдзрд╛рд░ рдкрд░ рдЕрдкрдирд╛ рдЦреБрдж рдХрд╛ рдШрдЯрдХ рдмрдирд╛рдирд╛ рд╣реИред рдЗрд╕рд▓рд┐рдП, рдЬреИрд╕рд╛ рдХрд┐ рдкрд╣рд▓реЗ рд╕реЗ рд╣реА рдкрд┐рдЫрд▓реЗ рд▓реЗрдЦ рдореЗрдВ рдмрддрд╛рдпрд╛ рдЧрдпрд╛ рд╣реИ, рдореБрдЭреЗ рдЕрд╡рдпрд╡ рдЯреИрдм рдкрд░ рд╕реНрд╡рд┐рдЪ рдХрд░рдиреЗ рдХреА рдЖрд╡рд╢реНрдпрдХрддрд╛ рд╣реИ:



рдкреНрд░реЛрдЬреЗрдХреНрдЯ рдкрд░ рд░рд╛рдЗрдЯ-рдХреНрд▓рд┐рдХ рдХрд░реЗрдВ рдФрд░ Add Component Item рдЪреБрдиреЗрдВ :



рд╣рдо рдХрд╣рддреЗ рд╣реИрдВ рдХрд┐ рд╣рдореЗрдВ UDB рджрд╕реНрддрд╛рд╡реЗрдЬрд╝ рдЬреЛрдбрд╝рдиреЗ рдХреА рдЖрд╡рд╢реНрдпрдХрддрд╛ рд╣реИ, рдирд╛рдо рдХреЛ StepperController рдореЗрдВ рдмрджрд▓реЗрдВ рдФрд░ рдирдпрд╛ рдмрдирд╛рдПрдВ рдкрд░ рдХреНрд▓рд┐рдХ рдХрд░реЗрдВ :



рдШрдЯрдХ рдкреЗрдбрд╝ рдореЗрдВ рджрд┐рдЦрд╛рдИ рджрд┐рдпрд╛, рдкреНрд▓рд╕ - рдЗрд╕ рдШрдЯрдХ рдХреЗ рд╕рдВрдкрд╛рджрдХ рдиреЗ рдЦреЛрд▓рд╛:



рдлреЙрд░реНрдо рдкрд░ рджрд╛рддрдкрд╛рде рдмреНрд▓реЙрдХ рд░рдЦреЗрдВ:



рдЗрд╕ рдмреНрд▓реЙрдХ рдХрд╛ рдЪрдпрди рдХрд░рдиреЗ рдХреЗ рдмрд╛рдж, рд╣рдо рдЗрд╕рдХреЗ рдЧреБрдгреЛрдВ рдкрд░ рдЬрд╛рддреЗ рд╣реИрдВ рдФрд░ 8 рд╕реЗ 24 рддрдХ рдереЛрдбрд╝реА рдЧрд╣рд░рд╛рдИ рдмрджрд▓рддреЗ рд╣реИрдВред рд╢реЗрд╖ рдорд╛рдкрджрдВрдбреЛрдВ рдХреЛ рдЕрдкрд░рд┐рд╡рд░реНрддрд┐рдд рдЫреЛрдбрд╝рд╛ рдЬрд╛ рд╕рдХрддрд╛ рд╣реИред



рдПрдХ рд╣реА рд╕рдордп рдореЗрдВ рд╕рднреА рдмреНрд▓реЙрдХреЛрдВ (рд╕рднреА рдЗрдВрдЬрдиреЛрдВ рдХреЗ рд▓рд┐рдП) рд╢реБрд░реВ рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП, рдореИрдВ рдмрд╛рд╣рд░ рд╕реЗ рд╕реНрдЯрд╛рд░реНрдЯ рд╕рд┐рдЧреНрдирд▓ рд╢реБрд░реВ рдХрд░реВрдВрдЧрд╛ ( рд╕реНрдЯрд╛рд░реНрдЯ рдЗрдирдкреБрдЯ рдЬреЛрдбрд╝реЗрдВ)ред рдЖрдЙрдЯрдкреБрдЯ: рдореИрдВ рд╕реАрдзреЗ рдПрдЧреНрдЬрд┐рдЯ рд╕реНрдЯреЗрдк рдмрдирд╛рдКрдВрдЧрд╛ , рддрд╛рдХрд┐ рдореИрдВ рдЗрд╕реЗ рд╕реНрдЯреЗрдкрд░ рдореЛрдЯрд░ рдбреНрд░рд╛рдЗрд╡рд░ рдХреЛ рдкреНрд░рд╕реНрддреБрдд рдХрд░ рд╕рдХреВрдВ , рд╕рд╛рде рд╣реА рдЖрдЙрдЯ_рдЖрдИрдбрд▓ ред рдЗрд╕ рд╕рд┐рдЧреНрдирд▓ рдХреЗ рдЖрдзрд╛рд░ рдкрд░, рдкреНрд░реЛрд╕реЗрд╕рд░ рдпрд╣ рдирд┐рд░реНрдзрд╛рд░рд┐рдд рдХрд░рдиреЗ рдореЗрдВ рд╕рдХреНрд╖рдо рд╣реЛрдЧрд╛ рдХрд┐ рдлрд┐рд▓рд╣рд╛рд▓ рдпреВрдирд┐рдЯ рдиреЗ рдЕрдкрдирд╛ рдХрд╛рдо рдЦрддреНрдо рдХрд░ рджрд┐рдпрд╛ рд╣реИред рдЗрди рдЗрдирдкреБрдЯ рдФрд░ рдЖрдЙрдЯрдкреБрдЯ рд╕реЗ рдореЗрд▓ рдЦрд╛рдиреЗ рд╡рд╛рд▓реЗ рд╕рд░реНрдХрд┐рдЯ рдХреЗ рдирд╛рдо рдЖрдХреГрддрд┐ рдореЗрдВ рджрд┐рдЦрд╛рдИ рджреЗрддреЗ рд╣реИрдВред



рдСрдЯреЛрдореЗрдЯрди рдХреЗ рддрд░реНрдХ рдХреЗ рдмрд╛рд░реЗ рдореЗрдВ рдмрд╛рдд рдХрд░рдиреЗ рд╕реЗ рдкрд╣рд▓реЗ, рдореИрдВ рдПрдХ рдФрд░ рд╡рд┐рд╢реБрджреНрдз рд░реВрдк рд╕реЗ рдЗрдВрдЬреАрдирд┐рдпрд░рд┐рдВрдЧ рд╕рдорд╕реНрдпрд╛ рдХрд╛ рд╡рд░реНрдгрди рдХрд░реВрдВрдЧрд╛: рдкрд▓реНрд╕ рдЕрд╡рдзрд┐ рдХреА рд╕реНрдерд╛рдкрдирд╛ред DRV8825 рдбреНрд░рд╛рдЗрд╡рд░ рдкреНрд░рд▓реЗрдЦрди рдХреА рдЖрд╡рд╢реНрдпрдХрддрд╛ рд╣реИ рдХрд┐ рдкрд▓реНрд╕ рдХреА рдЪреМрдбрд╝рд╛рдИ рдХрдо рд╕реЗ рдХрдо 1.9 ╬╝s рд╣реЛред рдЕрдиреНрдп рдЪрд╛рд▓рдХ рдЗрд╕рдХреА рдЪреМрдбрд╝рд╛рдИ рдкрд░ рдХрдо рдорд╛рдВрдЧ рдХрд░ рд░рд╣реЗ рд╣реИрдВред рдЬреИрд╕рд╛ рдХрд┐ рдкрд╣рд▓реЗ рд╕реЗ рд╣реА рд╕реИрджреНрдзрд╛рдВрддрд┐рдХ рднрд╛рдЧ рдореЗрдВ рдЙрд▓реНрд▓реЗрдЦ рдХрд┐рдпрд╛ рдЧрдпрд╛ рд╣реИ, рдореМрдЬреВрджрд╛ рд░рдЬрд┐рд╕реНрдЯрд░реЛрдВ рдкрд░ рдкрд╣рд▓реЗ рд╕реЗ рд╣реА рдЪрд░рдг рдЕрд╡рдзрд┐ рдФрд░ рдЪрд░рдгреЛрдВ рдХреА рд╕рдВрдЦреНрдпрд╛ рдирд┐рд░реНрдзрд╛рд░рд┐рдд рдХрд░рдХреЗ рдХрдмреНрдЬрд╛ рдХрд░ рд▓рд┐рдпрд╛ рдЧрдпрд╛ рд╣реИред рдпрд╣ рдкрд╕рдВрдж рд╣реИ рдпрд╛ рдирд╣реАрдВ, рд╕рд░реНрдХрд┐рдЯ рдкрд░ рд╕рд╛рдд-рдмрд┐рдЯ рдХрд╛рдЙрдВрдЯрд░ рд▓рдЧрд╛рдпрд╛ рдЬрд╛рдирд╛ рдЪрд╛рд╣рд┐рдПред рд╣рдо рдЗрд╕реЗ рдПрдХ-рд╢реЙрдЯ рдХрд╣рддреЗ рд╣реИрдВ, рдЬреЛ рд╕реНрдЯреЗрдк рдкрд▓реНрд╕ рд╕реЗрдЯ рдХрд░рддрд╛ рд╣реИред 48 рдореЗрдЧрд╛рд╣рд░реНрдЯреНрдЬ рдХреА рдЖрд╡реГрддреНрддрд┐ рдкрд░, 1.9 ╬╝s рдХреА рдЕрд╡рдзрд┐ рд╕реБрдирд┐рд╢реНрдЪрд┐рдд рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП, рдЗрд╕ рдХрд╛рдЙрдВрдЯрд░ рдХреЛ рдХрдо рд╕реЗ рдХрдо 91.2 рдЪрд░рдгреЛрдВ рдХреЛ рдЧрд┐рдирдирд╛ рдЪрд╛рд╣рд┐рдПред рд░рд╛рдЙрдВрдб рдЕрдк 92. рдЗрд╕рд╕реЗ рдЕрдзрд┐рдХ рд╣реЛрдиреЗ рд╡рд╛рд▓рд╛ рдХреЛрдИ рднреА рдореВрд▓реНрдп рдХрдо рдирд╣реАрдВ рд╣реЛрдЧрд╛ред рдпрд╣ рдирд┐рдореНрдирд▓рд┐рдЦрд┐рдд рд╕реЗрдЯрд┐рдВрдЧ рдХреЛ рдкреВрд░рд╛ рдХрд░рддрд╛ рд╣реИ:



рдХрд╛рдЙрдВрдЯрд░ рдирд╛рдо SingleVibrator ред рдпрд╣ рдХрднреА рднреА рд░реАрд╕реЗрдЯ рдирд╣реАрдВ рд╣реЛрддрд╛ рд╣реИ, рдЗрд╕рд▓рд┐рдП рд░реАрд╕реЗрдЯ рдЗрдирдкреБрдЯ рд╣рдореЗрд╢рд╛ рд╢реВрдиреНрдп рд╕реЗ рдЬреБрдбрд╝рд╛ рд╣реБрдЖ рд╣реИ, рдпрд╣ рдорд╛рдирддрд╛ рд╣реИ рдЬрдм рдорд╢реАрди (рдиреАрдЪреЗ рд╡рд░реНрдгрд┐рдд) рдПрдХ рд░рд╛рдЬреНрдп рдореЗрдВ рд╣реИ, рддреЛ рдпрд╣ рдЕрдиреНрдп рд╕рднреА рд░рд╛рдЬреНрдпреЛрдВ рдореЗрдВ рд▓реЛрдб рд╣реЛрддреА рд╣реИ (рдкрд╣рд▓реЗ рдореИрдВрдиреЗ рдорд╢реАрди рдХреЗ рд╡рд┐рд╢рд┐рд╖реНрдЯ рд░рд╛рдЬреНрдпреЛрдВ рдХреЛ рдЪреБрдирд╛, рд▓реЗрдХрд┐рди рдпрд╣ рдкрддрд╛ рдЪрд▓рд╛ рдХрд┐ рдЗрд╕ рддрд░рд╣ рдХреЗ рдПрдХ рдореБрд╢реНрдХрд┐рд▓ рддрд░реАрдХреЗ рд╕реЗ рдмрд╣реБрдд рдХрдо PLD рд╕рдВрд╕рд╛рдзрдиреЛрдВ рдХреА рдЖрд╡рд╢реНрдпрдХрддрд╛ рд╣реЛрддреА рд╣реИ, рд▓реЗрдХрд┐рди рдкрд░рд┐рдгрд╛рдо рд╕рдорд╛рди рд╣реИ)ред рд▓реЛрдб рдорд╛рди рджрд╢рдорд▓рд╡ 92 рд╣реИред рд╕рдЪ рд╣реИ, рдПрдХ рдЕрдЪреНрдЫрд╛ рд╕рдВрдкрд╛рджрдХ рддреБрд░рдВрдд рдЗрд╕ рдореВрд▓реНрдп рдХреЛ рд╣реЗрдХреНрд╕рд╛рдбреЗрд╕рд┐рдорд▓ рд╕реЗ рдмрджрд▓ рджреЗрдЧрд╛:



рдЬрдм рдХрд╛рдЙрдВрдЯрд░ рдХреЛ рд╢реВрдиреНрдп рдкрд░ рдЧрд┐рдирд╛ рдЬрд╛рддрд╛ рд╣реИ, рддреЛ рдпрд╣ рдЪреЗрди рдХреЛ One_Finished рдирд╛рдо рдХреЗ рд╕рд╛рде рд░рд┐рдкреЛрд░реНрдЯ рдХрд░реЗрдЧрд╛ред рдХрд╛рдЙрдВрдЯрд░ рдХреЗ рд╕рд╛рде - рдпрд╣реА рд╣реИред

рд╣рдорд╛рд░реА рдорд╢реАрди рдХрд┐рд╕ рддрд░рд╣ рдХреЗ рд╕реНрдЯреЗрдЯрд╕ рдлреНрд▓реИрдЧ рдХрд╛ рдЗрд╕реНрддреЗрдорд╛рд▓ рдХрд░реЗрдЧреА? рдореБрдЭреЗ рдпрд╣ рдЗрд╕ рддрд░рд╣ рдорд┐рд▓рд╛ (рдореИрдВ рдЖрдкрдХреЛ рдЙрдиреНрд╣реЗрдВ рд╕реЗрдЯ рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рдбрд╛рдЯрд╛рдкрд╛рдЯ рдореЗрдВ рдЖрдЙрдЯрдкреБрдЯ рдХреА рд╕реВрдЪреА рдкрд░ рдбрдмрд▓-рдХреНрд▓рд┐рдХ рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рдпрд╛рдж рджрд┐рд▓рд╛рддрд╛ рд╣реВрдВ):





рдореИрдВ рдкрд▓реНрд╕ рдХреА рдЕрд╡рдзрд┐ рдХреЗ рд▓рд┐рдП рдПрдХ рдХрд╛рдЙрдВрдЯрд░ рдХреЗ рд░реВрдк рдореЗрдВ рдмреИрдЯрд░реА A0 рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░реВрдВрдЧрд╛, рдЗрд╕рд▓рд┐рдП рдЬрдм рдЗрд╕рдХрд╛ рдореВрд▓реНрдп рд╢реВрдиреНрдп рддрдХ рдкрд╣реБрдВрдЪрддрд╛ рд╣реИ, рддреЛ рдЬрд┐рд╕ рдзреНрд╡рдЬ рдХреЛ рдореИрдВрдиреЗ рдкрд▓реНрд╕_рдлрд┐рдирд┐рд╢ рджрд┐рдпрд╛ рдерд╛, рдЙрд╕реЗ рдореБрд░реНрдЧрд╛ рдмрдирд╛рдпрд╛ рдЬрд╛рдПрдЧрд╛ред рдмреИрдЯрд░реА рдП 1 рдореЗрд░реЗ рд▓рд┐рдП рджрд╛рд▓реЛрдВ рдХреА рдЧрд┐рдирддреА рдХрд░реЗрдЧрд╛ред рдЗрд╕рд▓рд┐рдП, рдЗрд╕рдХреА рд╢реВрдиреНрдпрд┐рдВрдЧ рдзреНрд╡рдЬ рдХреЛ рд╕рдВрд╕рд╛рдзрд┐рдд рдХрд░реЗрдЧреА ред

рд╣рдо рдСрдЯреЛрдореЗрдЯрди рдХреЗ рд╕рдВрдХреНрд░рдордг рдЧреНрд░рд╛рдл рдХрд╛ рдирд┐рд░реНрдорд╛рдг рдХрд░рддреЗ рд╣реИрдВ:



рд╡рд╣ рдЪрд░ рдЬреЛ рдЕрдкрдиреА рдЕрд╡рд╕реНрдерд╛ рдирд┐рд░реНрдзрд╛рд░рд┐рдд рдХрд░рддрд╛ рд╣реИ рдЙрд╕реЗ рд░рд╛рдЬреНрдп рдХрд╣рддреЗ рд╣реИрдВред ALU рдЗрдВрд╕реНрдЯреНрд░рдХреНрд╢рди рдХреЗ рдПрдбреНрд░реЗрд╕ рд░рдЬрд┐рд╕реНрдЯрд░ рдореЗрдВ рдЗрд╕ рдЪрд░ рдХреЛ рддреБрд░рдВрдд рдореИрдк рдХрд░реЗрдВред рдкрд╣рд▓реЗ рддреЛ рдореИрдВ рдпрд╣ рдХрд░рдирд╛ рднреВрд▓ рдЧрдпрд╛, рдЗрд╕рд▓рд┐рдП рд▓рдВрдмреЗ рд╕рдордп рддрдХ рдореИрдВ рдпрд╣ рдирд╣реАрдВ рд╕рдордЭ рдкрд╛рдпрд╛ рдХрд┐ рдореЗрд░реА рдорд╢реАрди рдХрд╛рдо рдХреНрдпреЛрдВ рдирд╣реАрдВ рдХрд░рддреАред рдбрд╛рдЯрд╛рдкрде рдореЗрдВ рдкреНрд░рд╡рд┐рд╖реНрдЯрд┐рдпреЛрдВ рдХреЗ рдмреНрд▓реЙрдХ рдкрд░ рдбрдмрд▓-рдХреНрд▓рд┐рдХ рдХрд░реЗрдВ:



рдФрд░ рдореИрдЪ:



рд╣рдо рд╕рдВрдХреНрд░рдордг рдЧреНрд░рд╛рдл рдФрд░ рдЗрд╕рдХреЗ рд╕рд╛рде рдЬреБрдбрд╝реЗ ALU рдирд┐рд░реНрджреЗрд╢реЛрдВ рд╕реЗ рдирд┐рдкрдЯрдирд╛ рд╢реБрд░реВ рдХрд░рддреЗ рд╣реИрдВред

рдЖрдЗрдП рдЖрдЗрдбрд▓ рдЕрд╡рд╕реНрдерд╛ рд╕реЗ рд╢реБрд░реВ рдХрд░рддреЗ рд╣реИрдВред рдпрд╣ рдЕрдкрдиреЗ рдХрд╛рд░реНрдпреЛрдВ рдореЗрдВ рдХрд╛рдлреА рд╕рдВрддреГрдкреНрдд рд╣реИред

рд╕рдмрд╕реЗ рдкрд╣рд▓реЗ, рдбреЗрдЯрд╛ рдХрд╛ рдорд╛рди D0 рдФрд░ D1 рдХреЛ рдХреНрд░рдорд╢рдГ рдмреИрдЯрд░реА A0 рдФрд░ A1 рдореЗрдВ рд░рдЦрд╛ рдЬрд╛рддрд╛ рд╣реИ:



рдЗрд╕ рдкреНрд░рд╡рд┐рд╖реНрдЯрд┐ рд╕реЗ, рдкреНрд░рд╢рд┐рдХреНрд╖рд┐рдд рдЖрдВрдЦ рдХреЛ рдЖрдкрдХреА рдЬрд╝рд░реВрд░рдд рдХреА рд╣рд░ рдЪреАрдЬрд╝ рджрд┐рдЦрд╛рдИ рджреЗрдЧреАред рдЪреВрдВрдХрд┐ рд╣рдорд╛рд░реА рдЖрдБрдЦреЗрдВ рдЕрднреА рднреА рд╕реЗрдЯ рдирд╣реАрдВ рд╣реИрдВ, рдЗрд╕рд▓рд┐рдП рд╣рдо рдкреНрд░рд╡рд┐рд╖реНрдЯрд┐ рдкрд░ рдбрдмрд▓-рдХреНрд▓рд┐рдХ рдХрд░рддреЗ рд╣реИрдВ рдФрд░ рдПрдХ рд╣реА рдЪреАрдЬрд╝ рджреЗрдЦрддреЗ рд╣реИрдВ, рд▓реЗрдХрд┐рди рдЕрдзрд┐рдХ рд╡рд┐рд╡рд░рдг рдореЗрдВ:



рдпрд╣рд╛рдВ рдореБрдЦреНрдп рдореВрд▓реНрдп рдмреИрдЯрд░реА A1, рдкрд▓реНрд╕ рдХрд╛рдЙрдВрдЯрд░ рдХреЛ рднрд░рдирд╛ рд╣реИред рдЬрдм рдкреНрд░реЛрдЧреНрд░рд╛рдо рдбреА 1 рдорд╛рди рдореЗрдВ рдкреНрд░рд╡реЗрд╢ рдХрд░рддрд╛ рд╣реИ, рддреЛ рдпрд╣ рддреБрд░рдВрдд A1 рдореЗрдВ рдЪрд▓рд╛ рдЬрд╛рддрд╛ рд╣реИред рдХрд╛рд░реНрдпрдХреНрд░рдо рдирд┐рд╢реНрдЪрд┐рдд рд░реВрдк рд╕реЗ рдЕрдЧрд▓реЗ рдЙрдкрд╛рдп рддрдХ рдкреНрд░рдХреНрд░рд┐рдпрд╛ рд╢реБрд░реВ рдХрд░рдиреЗ рдХрд╛ рд╕рдордп рдирд╣реАрдВ рд╣реЛрдЧрд╛ред рдЗрд╕ рдорд╛рди рдХреЛ рдЗрд╕ рд╕реНрдерд┐рддрд┐ рд╕реЗ рдмрд╛рд╣рд░ рдирд┐рдХрд▓рдиреЗ рдХреЗ рд▓рд┐рдП рдПрдХ рд╕реНрдерд┐рддрд┐ рдмрдирд╛рдиреЗ рдХреЗ рд▓рд┐рдП рдЬрд╛рдБрдЪ рдХреА рдЬрд╛рддреА рд╣реИ, рдЕрд░реНрдерд╛рдд рдЗрд╕реЗ рднрд░рдиреЗ рдХреЗ рд▓рд┐рдП рдХрд╣реАрдВ рдФрд░ рдирд╣реАрдВ рд╣реИред

рдЕрдм рджреЗрдЦрддреЗ рд╣реИрдВ рдХрд┐ рд╕рдВрдХреНрд░рдордг рдЧреНрд░рд╛рдл рд╕реНрддрд░ рдкрд░ рдХреНрдпрд╛ рдХрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИ:



рд╕рд╣рд╛рдпрдХ рдЯреНрд░рд┐рдЧрд░ Start_Prev рдЖрдкрдХреЛ рдЗрдирдкреБрдЯ рдкреНрд░рд╛рд░рдВрдн рдореЗрдВ рдПрдХ рд╕рдХрд╛рд░рд╛рддреНрдордХ рдмрдврд╝рдд рдХреЛ рдкрдХрдбрд╝рдиреЗ рдХреА рдЕрдиреБрдорддрд┐ рджреЗрддрд╛ рд╣реИ, 1 рдЪрдХреНрд░ рдХреЗ рд▓рд┐рдП рджреЗрд░реА рд▓рд╛рдЗрди рдХрд╛ рдЖрдпреЛрдЬрди рдХрд░рддрд╛ рд╣реИред рдЗрд╕рдореЗрдВ рд╣рдореЗрд╢рд╛ рд╕реНрдЯрд╛рд░реНрдЯ рдЗрдирдкреБрдЯ рдХреА рд╕реНрдерд┐рддрд┐ рд╣реЛрдЧреА, рдЬреЛ рдкрд┐рдЫрд▓реЗ рдорд╛рдк рдкрд░ рдерд╛ред рд╡реЗрд░рд┐рд▓реЛрдЧ рдореЗрдВ рдЗрд╕реЗ рджреЗрдЦрдиреЗ рд╕реЗ рдХреЛрдИ рдФрд░ рдкрд░рд┐рдЪрд┐рдд рд╣реИ:



рдПрдХ рд╣реА рдкрд╛рда
always @ (posedge clock) begin : Idle_state_logic case(State) Idle : begin Start_Prev <= (Start); IsIdle <= (1); if (( Start&(!Start_Prev)&(!Process_Finished) ) == 1'b1) begin State <= One ; end end 


рддрджрдиреБрд╕рд╛рд░, рдкреНрд░рд╛рд░рдВрдн рдФрд░ (! Start_Prev) рд╕реНрдерд┐рддрд┐ рдХреЗрд╡рд▓ рддрднреА рд╕рд╣реА рд╣реЛрддреА рд╣реИ рдЬрдм рдЙрдкрд╛рдпреЛрдВ рдХреЗ рдмреАрдЪ рдПрдХ рд╕рдХрд╛рд░рд╛рддреНрдордХ рдкреНрд░рд╛рд░рдВрдн рд░реЗрдЦрд╛ рдЕрдВрддрд░ рд╣реЛрддрд╛ рд╣реИ ред

рдЗрд╕рдХреЗ рдЕрд▓рд╛рд╡рд╛, рдЬрдм рдорд╢реАрди рдЗрд╕ рд╕реНрдерд┐рддрд┐ рдореЗрдВ рд╣реЛрддреА рд╣реИ, рддреЛ рдЗрд╕реНрд▓реА рдЖрдЙрдЯрдкреБрдЯ рдХреЛ рдПрдХрд▓ рд╡рд╛рддрд╛рд╡рд░рдг рдореЗрдВ рд▓рд╛рдпрд╛ рдЬрд╛рддрд╛ рд╣реИ, рдЬреЛ рдмрд╛рд╣рд░реА рд╡рд╛рддрд╛рд╡рд░рдг рдХреЛ рд╕реВрдЪрд┐рдд рдХрд░рддрд╛ рд╣реИ рдХрд┐ рдмреНрд▓реЙрдХ рдирд┐рд╖реНрдХреНрд░рд┐рдп рд╣реИред рдЗрд╕ рджреГрд╖реНрдЯрд┐рдХреЛрдг рдХреЗ рд╕рд╛рде, рдХрдо PLD рд╕рдВрд╕рд╛рдзрдиреЛрдВ рдХреА рддреБрд▓рдирд╛ рдореЗрдВ рдЦрд░реНрдЪ рдХрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИ рдЕрдЧрд░ рд░рд╛рдЬреНрдп == рдЖрдЗрдбрд▓ рдирд┐рд░реНрдорд╛рдг рдЖрдЙрдЯрдкреБрдЯ рдХреЗ рд▓рд┐рдП рдкреНрд░рд╕реНрддреБрдд рдХрд┐рдпрд╛ рдЧрдпрд╛ рдерд╛ред

рдЬрдм рд╕реНрдЯрд╛рд░реНрдЯ рд╕рд┐рдЧреНрдирд▓ рдХрд╛ рдЕрдВрддрд░ рдмрд╛рд╣рд░реА рд╡рд╛рддрд╛рд╡рд░рдг рд╕реЗ рдЖрддрд╛ рд╣реИ, рдФрд░ рд╕рдВрдЪрд╛рдпрдХ A1 рдХрд╛ рдЧреИрд░-рд╢реВрдиреНрдп рдорд╛рди рд╣реЛрддрд╛ рд╣реИ, рддреЛ рдорд╢реАрди рдЖрдЗрдбрд▓ рд╕реНрдЯреЗрдЯ рд╕реЗ рдмрд╛рд╣рд░ рдирд┐рдХрд▓ рдЬрд╛рдПрдЧреАред рдпрджрд┐ A1 рдореЗрдВ рд╢реВрдиреНрдп рджрд░реНрдЬ рдХрд┐рдпрд╛ рдЧрдпрд╛ рд╣реИ, рддреЛ рдЗрдВрдЬрди рдЗрд╕ рд╕реЗрдЧрдореЗрдВрдЯ рдХреЗ рд╡рд┐рдХрд╛рд╕ рдореЗрдВ рд╢рд╛рдорд┐рд▓ рдирд╣реАрдВ рд╣реИ, рддрд╛рдХрд┐ рд╕реНрдЯрд╛рд░реНрдЯ рд▓рд╛рдЗрди рдкрд░ рдЕрдВрддрд░ рдХреЛ рдирдЬрд░рдЕрдВрджрд╛рдЬ рдХрд░ рджрд┐рдпрд╛ рдЬрд╛рдПред рдпрд╣ рдЕрдкреНрд░рдпреБрдХреНрдд рдПрдХреНрд╕рдЯреНрд░реВрдбрд░ рдкрд░ рд▓рд╛рдЧреВ рд╣реЛрддрд╛ рд╣реИред рдХреБрдЫ рдкреНрд░рд┐рдВрдЯрд░ рдХреЗ рд▓рд┐рдП, Z- рдЕрдХреНрд╖ рдЗрдВрдЬрди рднреА рд╢рд╛рдпрдж рд╣реА рдХрднреА рдЗрд╕реНрддреЗрдорд╛рд▓ рдХрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИред рдореБрдЭреЗ рдпрд╛рдж рджрд┐рд▓рд╛рдПрдВ рдХрд┐ рдПрдХ рд╢рд░реНрдд рдХреИрд╕реЗ рдмрдирд╛рдИ рдЬрд╛рддреА рд╣реИ рдЬреЛ A1 рдореЗрдВ рдПрдХ рд╢реВрдиреНрдп рдорд╛рди рдХрд╛ рдЦреБрд▓рд╛рд╕рд╛ рдХрд░рддреА рд╣реИ (рдФрд░ рдЧреИрд░-рд╢реВрдиреНрдп рдЗрд╕рдХрд╛ рдЙрд▓рдЯрд╛ рд╣реИ):



рдЕрдЧрд▓рд╛, рдорд╢реАрди рд░рд╛рдЬреНрдп рдореЗрдВ рдкреНрд░рд╡реЗрд╢ рдХрд░рддреА рд╣реИ рдПрдХ :



рдЗрд╕ рд╕реНрдерд┐рддрд┐ рдореЗрдВ, рдЪрд░рдг рдЖрдЙрдЯрдкреБрдЯ 1 рдкрд░ рд╕реЗрдЯ рд╣реИред рдбреНрд░рд╛рдЗрд╡рд░ рдХреЗ рд▓рд┐рдП рдПрдХ рдЪрд░рдг рдкрд▓реНрд╕ рд▓рд╛рдЧреВ рдХрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИред рдЗрд╕рдХреЗ рдЕрд▓рд╛рд╡рд╛, IsIdle рдЯреНрд░рд┐рдЧрд░ рдХрд╛ рдореВрд▓реНрдп рд░реАрд╕реЗрдЯ рд╣реИ ред рдмрд╛рд╣рд░реА рд╡рд╛рддрд╛рд╡рд░рдг рдХреЛ рд╕реВрдЪрд┐рдд рдХрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИ рдХрд┐ рдЗрдХрд╛рдИ рд╕рдХреНрд░рд┐рдп рдЪрд░рдг рдореЗрдВ рд╣реИред

рдпрд╣ рд░рд╛рдЬреНрдп One_Finished рд╕рдВрдХреЗрдд рджреНрд╡рд╛рд░рд╛ рдмрд╛рд╣рд░ рдирд┐рдХрд▓ рдЧрдпрд╛ рд╣реИ, рдЬрд┐рд╕реЗ рд╕рд╛рдд-рдмрд┐рдЯ рдХрд╛рдЙрдВрдЯрд░ рд╢реВрдиреНрдп рдкрд░ рдЧрд┐рдирдиреЗ рдкрд░ рдПрдХ рдХреЛ рдЙрдард╛рдпрд╛ рдЬрд╛рдПрдЧрд╛ред рдЖрдкрдХреЛ рдпрд╛рдж рджрд┐рд▓рд╛ рджреВрдВ рдХрд┐ One_Finished рд╕рдВрдХреЗрдд рдЗрд╕ рд╡рд┐рд╢реЗрд╖ рдХрд╛рдЙрдВрдЯрд░ рджреНрд╡рд╛рд░рд╛ рдЙрддреНрдкрдиреНрди рд╣реЛрддрд╛ рд╣реИ:



рдЬрдмрдХрд┐ рдорд╢реАрди рдЗрд╕ рд╕реНрдерд┐рддрд┐ рдореЗрдВ рд╣реИ, ALU рдмреИрдЯрд░реА A0 рдореЗрдВ рд▓реЛрдб рд╣реЛрддреА рд╣реИ (рдкрд▓реНрд╕ рдЕрд╡рдзрд┐ рдирд┐рд░реНрдзрд╛рд░рд┐рдд рдХрд░рддреЗ рд╣реБрдП) рд░рдЬрд┐рд╕реНрдЯрд░ D0 рд╕реЗ рдорд╛рдиред рдореБрдЭреЗ рдпрд╣ рдХрд╣рддреЗ рд╣реБрдП рдХреЗрд╡рд▓ рдПрдХ рдЫреЛрдЯрд╛ рдиреЛрдЯ рджрд┐рдЦрд╛рдУ:



рд▓реЛрдб рдХрд┐рдП рдЧрдП рдорд╛рди рдХрд╛ рдЙрдкрдпреЛрдЧ рдирд┐рдореНрди рд╕реНрдерд┐рддрд┐ рдореЗрдВ рдХрд┐рдпрд╛ рдЬрд╛рдПрдЧрд╛ред рдЗрд╕рдореЗрдВ рд╣реЛрдиреЗ рдХреЗ рдирд╛рддреЗ, рдорд╢реАрди рдПрдХ рджреЗрд░реА рдЙрддреНрдкрдиреНрди рдХрд░рддреА рд╣реИ рдЬреЛ рдкрд▓реНрд╕ рдЕрд╡рдзрд┐ рдирд┐рд░реНрдзрд╛рд░рд┐рдд рдХрд░рддреА рд╣реИ:



рдЪрд░рдг рдЖрдЙрдЯрдкреБрдЯ рд╢реВрдиреНрдп рдкрд░ рд░реАрд╕реЗрдЯ рд╣реИред рдмреИрдЯрд░реА A0 рдХрдо рд╣реЛ рдЬрд╛рддреА рд╣реИ, рдЬреИрд╕рд╛ рдХрд┐ рдирд┐рдореНрдирд▓рд┐рдЦрд┐рдд рд╕рдВрдХреНрд╖рд┐рдкреНрдд рдкреНрд░рд╡рд┐рд╖реНрдЯрд┐ рджреНрд╡рд╛рд░рд╛ рд╕реНрдкрд╖реНрдЯ рдХрд┐рдпрд╛ рдЧрдпрд╛ рд╣реИ:



рдФрд░ рдЕрдЧрд░ рдЖрдк рдЗрд╕ рдкрд░ рдбрдмрд▓ рдХреНрд▓рд┐рдХ рдХрд░рддреЗ рд╣реИрдВ - рдПрдХ рдкреВрд░реНрдг рдкреНрд░рд╡рд┐рд╖реНрдЯрд┐:



рдЬрдм A0 рдХрд╛ рдорд╛рди рд╢реВрдиреНрдп рддрдХ рдкрд╣реБрдВрдЪ рдЬрд╛рддрд╛ рд╣реИ, рддреЛ Pules_Finished рдзреНрд╡рдЬ рдЙрдард╛рдпрд╛ рдЬрд╛рдПрдЧрд╛, рдФрд░ рдорд╢реАрди рдирд┐рд░реНрдгрдп рдореЗрдВ рдЬрд╛рдПрдЧреА:



рдЗрд╕ рдЕрд╡рд╕реНрдерд╛ рдореЗрдВ, ALU рдореЗрдВ, рд╕рдВрдЪрд╛рдпрдХ A1 рдХрд╛ рдорд╛рди рдШрдЯрддрд╛ рд╣реИ, рдЬреЛ рджрд╛рд▓реЛрдВ рдХреА рд╕рдВрдЦреНрдпрд╛ рдирд┐рд░реНрдзрд╛рд░рд┐рдд рдХрд░рддрд╛ рд╣реИ:



рд░рд┐рдХреЙрд░реНрдб рдХрд╛ рдкреВрд░реНрдг рд╕рдВрд╕реНрдХрд░рдг:



рдкрд░рд┐рдгрд╛рдо рдХреЗ рдЖрдзрд╛рд░ рдкрд░, рдЕрдЧрд▓реА рдирд╛рдбрд╝реА рдпрд╛ рдЖрдЗрдбрд▓ рдЕрд╡рд╕реНрдерд╛ рдореЗрдВ рд╕рдВрдХреНрд░рдордг рд╣реЛрддрд╛ рд╣реИред рдкреНрд░рд╛рдердорд┐рдХрддрд╛рдУрдВ рдХреЛ рдзреНрдпрд╛рди рдореЗрдВ рд░рдЦрддреЗ рд╣реБрдП рдкрд░рд┐рд╡рд░реНрддрди рджреЗрдЦрдиреЗ рдХреЗ рд▓рд┐рдП рд░рд╛рдЬреНрдп рдкрд░ рдбрдмрд▓ рдХреНрд▓рд┐рдХ рдХрд░реЗрдВ:



рджрд░рдЕрд╕рд▓, UDB рдХреЗ рд╕рд╛рде рд╕рдм рдХреБрдЫред рдЕрдм рд╣рдо рд╕рдВрдмрдВрдзрд┐рдд рдкреНрд░рддреАрдХ рдмрдирд╛рддреЗ рд╣реИрдВред рдРрд╕рд╛ рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП, рд╕рдВрдкрд╛рджрдХ рдкрд░ рд░рд╛рдЗрдЯ-рдХреНрд▓рд┐рдХ рдХрд░реЗрдВ рдФрд░ рдЬрдирд░реЗрдЯ рд╕рд┐рдВрдмрд▓ рдЪреБрдиреЗрдВ:



рд╣рдо рдкреНрд░реЛрдЬреЗрдХреНрдЯ рдЖрд░реЗрдЦ рдкрд░ рдЬрд╛рддреЗ рд╣реИрдВ:



рдФрд░ рд╣рдо рдПрдХ рд╕рд░реНрдХрд┐рдЯ рд╢реБрд░реВ рдХрд░рддреЗ рд╣реИрдВ рдЬрд┐рд╕рдореЗрдВ рдЗрди рдирд┐рдпрдВрддреНрд░рдХреЛрдВ рдХреА рдПрдХ рдирд┐рд╢реНрдЪрд┐рдд рд╕рдВрдЦреНрдпрд╛ рд╣реЛрддреА рд╣реИред рдореИрдВрдиреЗ рдкрд╛рдБрдЪ (рддреАрди рдЕрдХреНрд╖реЛрдВ рдФрд░ рджреЛ рдПрдХреНрд╕рдЯреНрд░реВрдЬрд╝рди) рдХреЛ рдЪреБрдирд╛ред рдмрдбрд╝реА рд╕рдВрдЦреНрдпрд╛ рдореЗрдВ рдПрдХреНрд╕рдЯреНрд░реВрдбрд░ рд╡рд╛рд▓реЗ рдкреНрд░рд┐рдВрдЯрд░ рд╕рд╕реНрддреЗ рдирд╣реАрдВ рдорд╛рдиреЗ рдЬрд╛рдПрдВрдЧреЗред рдЖрдк рдЙрди рдкрд░ FPGA рд░рдЦ рд╕рдХрддреЗ рд╣реИрдВред рдЬрд┐рд╕ рддрд░рд╣ рд╕реЗ, рд╡рд╛рд╕реНрддрд╡рд┐рдХ рдЬрдЯрд┐рд▓рддрд╛ рдХреЛ рджреЗрдЦрдиреЗ рдХреЗ рд▓рд┐рдП, рдореИрдВрдиреЗ рдПрдХ рдпреВрдПрд╕рдмреА-рдпреВрдПрдЖрд░рдЯреА рдмреНрд▓реЙрдХ (рдХрдВрдкреНрдпреВрдЯрд░ рдпрд╛ рдЙрд╕реА рд░рд╛рд╕реНрдкрдмреЗрд░реА рдкрд╛рдИ рд╕реЗ рдбреЗрдЯрд╛ рдкреНрд░рд╛рдкреНрдд рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП) рдФрд░ рдПрдХ рд╡рд╛рд╕реНрддрд╡рд┐рдХ рдпреВрдПрдЖрд░ рдХреЛ рдлреЗрдВрдХ рджрд┐рдпрд╛ (рдпрд╣ рдПрдХ рд╕рд╕реНрддреЗ рд╡рд╛рдИ-рдлрд╛рдИ рдореЙрдбреНрдпреВрд▓ рдИрдПрд╕рдкреА 8266 рдХреЗ рд╕рд╛рде рд╕рдВрдЪрд╛рд░ рдкреНрд░рджрд╛рди рдХрд░реЗрдЧрд╛ рдпрд╛, рдПрдХ рдмреБрджреНрдзрд┐рдорд╛рди рдкреНрд░рджрд░реНрд╢рди рдХрд╣ рд╕рдХрддрд╛ рд╣реИ) UART рдХреЗ рдорд╛рдзреНрдпрдо рд╕реЗ GCODE рднреЗрдЬреЗрдВ)ред рдореИрдВрдиреЗ PWM рдФрд░ рдЗрддрдиреЗ рдкрд░ рдирд╣реАрдВ рдЬреЛрдбрд╝рд╛, рдХреНрдпреЛрдВрдХрд┐ рдЙрдирдХреА рдЬрдЯрд┐рд▓рддрд╛ рд▓рдЧрднрдЧ рд╕реНрдкрд╖реНрдЯ рд╣реИ, рдФрд░ рд╡рд╛рд╕реНрддрд╡рд┐рдХ рдкреНрд░рдгрд╛рд▓реА рдЕрднреА рднреА рджреВрд░ рд╣реИред рдпрд╣ рдЗрд╕ рддрд░рд╣ рд╕реЗ рдирд┐рдХрд▓рд╛:



рдирд┐рдпрдВрддреНрд░рдг рд░рдЬрд┐рд╕реНрдЯрд░ рдПрдХ рдЯреНрд░рд┐рдЧрд░ рд╕рд┐рдЧреНрдирд▓ рдЙрддреНрдкрдиреНрди рдХрд░рддрд╛ рд╣реИ, рдЬреЛ рдПрдХ рд╕рд╛рде рд╕рднреА рдмреНрд▓реЙрдХреЛрдВ рдореЗрдВ рдЬрд╛рддрд╛ рд╣реИред рдЗрд╕рдХреЗ рдЕрд▓рд╛рд╡рд╛, рд╕рдВрдХреЗрддреЛрдВ рдХреЛ рдЗрд╕рд╕реЗ рдмрд╛рд╣рд░ рдЖрдиреЗ рджреЗрдВ, рдЬреЛ рдЦрдВрдб рдХреЗ рдЧрдарди рдХреЗ рджреМрд░рд╛рди рд╕реНрдерд┐рд░ рд╣реИрдВред рдореИрдВрдиреЗ "рдПрдВрдб" рджреНрд╡рд╛рд░рд╛ рд╕рднреА рдЖрдЗрдбрд▓ рдЖрдЙрдЯрдкреБрдЯ рдПрдХрддреНрд░ рдХрд┐рдП рдФрд░ рдЗрдВрдЯрд░рдкреНрдЯ рдЗрдирдкреБрдЯ рдкрд░ рд▓рд╛рдЧреВ рдХрд┐рдпрд╛ред рдореИрдВрдиреЗ рд╕рдХрд╛рд░рд╛рддреНрдордХ рдореЛрд░реНрдЪреЗ рдкрд░ рдПрдХ рд░реБрдХрд╛рд╡рдЯ рдирд┐рдпреБрдХреНрдд рдХреАред рдпрджрд┐ рдХрдо рд╕реЗ рдХрдо рдПрдХ рдЗрдВрдЬрди рд╢реБрд░реВ рд╣реЛрддрд╛ рд╣реИ, рддреЛ рдЗрдВрдЯрд░рдкреНрдЯ рдЗрдирдкреБрдЯ рд░реАрд╕реЗрдЯ рд╣реЛ рдЬрд╛рдПрдЧрд╛ред рдЕрдВрддрд┐рдо рдЗрдВрдЬрди рдХреЗ рдЕрдВрдд рдореЗрдВ, рдЗрд╕реЗ рдХреЙрдХ рдХрд┐рдпрд╛ рдЬрд╛рдПрдЧрд╛, рдЬреЛ рдкреНрд░реЛрд╕реЗрд╕рд░ рдХреЛ рдЕрдЧрд▓реЗ рдЦрдВрдб рдХреЗ рд╕рдорд╛рдкрди рдХреЗ рд▓рд┐рдП рддрддреНрдкрд░рддрд╛ рдХреЗ рдмрд╛рд░реЗ рдореЗрдВ рд╕реВрдЪрд┐рдд рдХрд░реЗрдЧрд╛ред рдЕрдм рдХреНрд▓реЙрдХреНрд╕ рдЯреНрд░реА рддрддреНрд╡ рдкрд░ рдбрдмрд▓-рдХреНрд▓рд┐рдХ рдХрд░рдХреЗ рдЖрд╡реГрддреНрддрд┐рдпреЛрдВ рдХреЛ рд╕рдорд╛рдпреЛрдЬрд┐рдд рдХрд░реЗрдВ:



рджрд┐рдЦрд╛рдИ рджреЗрдиреЗ рд╡рд╛рд▓реА рддрд╛рд▓рд┐рдХрд╛ рдореЗрдВ, PLL_OUT рддрддреНрд╡ рдкрд░ рдбрдмрд▓-рдХреНрд▓рд┐рдХ рдХрд░реЗрдВ:



рд╣рдо рдХрд┐рд╕реА рднреА рддрд░рд╣ рддрд╛рд▓рд┐рдХрд╛ рдореЗрдВ рднрд░реЗрдВрдЧреЗ (рдореИрдВрдиреЗ рдЗрд╕ рддрд╛рд▓рд┐рдХрд╛ рдХреЛ рдЕрдЪреНрдЫреА рддрд░рд╣ рд╕реЗ рд╕реНрдерд╛рдкрд┐рдд рдХрд░рдиреЗ рдХреЗ рдирд┐рдпрдореЛрдВ рдХреЛ рдирд╣реАрдВ рд╕рдордЭрд╛, рдпрд╣реА рдХрд╛рд░рдг рд╣реИ рдХрд┐ рдореИрдВ "рдХреБрдЫ рдРрд╕рд╛ рд╣реА" рд╢рдмреНрдж рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░рддрд╛ рд╣реВрдВ):



рдЕрдм Line_1 рдкрд░ рджреЛ рдмрд╛рд░ рдХреНрд▓рд┐рдХ рдХрд░реЗрдВ:



UDB рдмреНрд▓реЙрдХреЛрдВ рдХреА рдШрдбрд╝реА рдЖрд╡реГрддреНрддрд┐ рдХреЛ 48 MHz рдкрд░ рд╕реЗрдЯ рдХрд░реЗрдВ:



рдЪреВрдВрдХрд┐ рдпрд╣ рдкрд░рд┐рдпреЛрдЬрдирд╛ рдкреНрд░рд╛рдпреЛрдЧрд┐рдХ рд╣реИ, рдЗрд╕рд▓рд┐рдП рдЗрд╕реЗ рдПрдкреАрдЖрдИ рдмрдирд╛рдиреЗ рдХрд╛ рдХреЛрдИ рдорддрд▓рдм рдирд╣реАрдВ рд╣реИред рд▓реЗрдХрд┐рди рдкрд┐рдЫрд▓реЗ рд▓реЗрдЦ рдореЗрдВ рдЕрдзреНрдпрдпрди рдХреА рдЧрдИ рд╕рд╛рдордЧреНрд░реА рдХреЛ рдордЬрдмреВрдд рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП, рд╣рдо рдлрд┐рд░ рд╕реЗ рдШрдЯрдХ рдЯреИрдм рдкрд░ рдЬрд╛рддреЗ рд╣реИрдВ рдФрд░ StepperController рдкрд░рд┐рдпреЛрдЬрдирд╛ рдХреЗ рд▓рд┐рдП, рдШрдЯрдХ рдЬреЛрдбрд╝реЗрдВ рдХреЗ рдорд╛рдзреНрдпрдо рд╕реЗ рд░рд╛рдЗрдЯ-рдХреНрд▓рд┐рдХ рдХрд░реЗрдВ рд╕рдмрд╕реЗ рдкрд╣рд▓реЗ рд╣рдо рд╣реЗрдбрд░ рдлрд╝рд╛рдЗрд▓ рдЬреЛрдбрд╝рддреЗ рд╣реИрдВ, рдФрд░ рдлрд┐рд░ рд╕реА рд╕реНрд░реЛрдд рдХреЛрдб рдлрд╝рд╛рдЗрд▓:





рдореИрдВ рдЖрд░рдВрднрд┐рдХ рд░реВрдк рд╕реЗ рдЙрди рджреЛрдиреЛрдВ рдХрд╛рд░реНрдпреЛрдВ рдХреЛ рджрд┐рдЦрд╛рдКрдВрдЧрд╛, рдЬреЛ рдореИрдВрдиреЗ рдЬреЛрдбрд╝реЗ рдереЗред рдмрд╛рдХреА рд▓реЗрдЦ рдХреЗ рдЙрджрд╛рд╣рд░рдг рдореЗрдВ рджреЗрдЦрд╛ рдЬрд╛ рд╕рдХрддрд╛ рд╣реИред

 void `$INSTANCE_NAME`_Start() { `$INSTANCE_NAME`_SingleVibrator_Start(); //"One" Generator start } void `$INSTANCE_NAME`_PrepareStep(int nSteps,int duration) { CY_SET_XTND_REG24(`$INSTANCE_NAME`_Datapath_1_D0_PTR, duration>92?duration-92:0); CY_SET_XTND_REG24(`$INSTANCE_NAME`_Datapath_1_D1_PTR, nSteps>1?nSteps-1:0); } 

рдореИрдВрдиреЗ рдпрд╣ рд╕рддреНрдпрд╛рдкрд┐рдд рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП main.cpp рдХреЗ рд╕рд╛рде main.c рдХрд╛ рдирд╛рдо рд▓рд┐рдпрд╛ рдХрд┐ рд╡рд┐рдХрд╛рд╕ рдХрд╛ рд╡рд╛рддрд╛рд╡рд░рдг C ++ рдХреЗ рд▓рд┐рдП рд╕рд╛рдорд╛рдиреНрдп рд░реВрдк рд╕реЗ рдкреНрд░рддрд┐рдХреНрд░рд┐рдпрд╛ рджреЗрдЧрд╛, рдХреНрдпреЛрдВрдХрд┐ рдорд╛рд░реНрд▓рд┐рди рдлрд░реНрдорд╡реЗрдпрд░ рдСрдмреНрдЬреЗрдХреНрдЯ-рдУрд░рд┐рдПрдВрдЯреЗрдб рд╣реИред рдореБрдЦреНрдп рд░реВрдк рд╕реЗ рдмреМрдЫрд╛рд░ рдХреА рдЬрд╛рдиреЗ рд╡рд╛рд▓реА рддреНрд░реБрдЯрд┐рдпрд╛рдВ рдЬрд┐рдиреНрд╣реЗрдВ рдирд┐рдпрдорд┐рдд рд░реВрдк рд╕реЗ рдЬреЛрдбрд╝рдХрд░ рд╕рдорд╛рдкреНрдд рдХрд░ рджрд┐рдпрд╛ рдЧрдпрд╛ рдерд╛:



рдПрдХ рд╣реА рдкрд╛рда
 extern "C" { #include "project.h" } 


рдЗрдВрдЬрдиреЛрдВ рдХреЗ рд╡реИрд╢реНрд╡рд┐рдХ рд▓реЙрдиреНрдЪ рдХреЗ рд▓рд┐рдП, рдореИрдВрдиреЗ рдЗрд╕ рддрд░рд╣ рдХрд╛ рдПрдХ рд╕рдорд╛рд░реЛрд╣ рдмрдирд╛рдпрд╛ (рдпрд╣ рдмрд╣реБрдд рдореЛрдЯрд╛ рд╣реИ, рд▓реЗрдХрд┐рди рдПрдХ рд╡реИрдХреНрдпреВрдо рдореЗрдВ рдПрдХ рдЧреЛрд▓рд╛рдХрд╛рд░ рдШреЛрдбрд╝реЗ рдХреЗ рд╕рд╛рде рдкреНрд░рдпреЛрдЧреЛрдВ рдХреЗ рд▓рд┐рдП, рдпрд╣ рдРрд╕рд╛ рд╣реЛрдЧрд╛, рдкреНрд░рдпреЛрдЧреЛрдВ рдореЗрдВ рд╡рд┐рдХрд╛рд╕ рдХрд╛ рд╕рдордп рд╕реБрдВрджрд░рддрд╛ рд╕реЗ рдЕрдзрд┐рдХ рдорд╣рддреНрд╡рдкреВрд░реНрдг рд╣реИ):
 void StartSteppers() { Stepper_Control_Reg_Write (1); Stepper_Control_Reg_Write (1); Stepper_Control_Reg_Write (1); Stepper_Control_Reg_Write (0); } 

рд╡рд╣ рд╕реНрдЯрд╛рд░реНрдЯ рд╕рд┐рдЧреНрдирд▓ рд╢реБрд░реВ рдХрд░рддрд╛ рд╣реИ, рдмрд╕ рдорд╛рдорд▓реЗ рдореЗрдВ, рддреБрд░рдВрдд рддреАрди рдЙрдкрд╛рдпреЛрдВ рдХреЗ рд▓рд┐рдП, рдлрд┐рд░ рдЗрд╕реЗ рдлрд┐рд░ рд╕реЗ рдЧрд┐рд░рд╛рддрд╛ рд╣реИред

рдЦреИрд░, рдЪрд▓рд┐рдП рдкреНрд░рдпреЛрдЧ рд╢реБрд░реВ рдХрд░рддреЗ рд╣реИрдВред рд╕рдмрд╕реЗ рдкрд╣рд▓реЗ, рдПрдХреНрд╕ рдФрд░ рд╡рд╛рдИ рдЗрдВрдЬрди рдкрд░ рдмрд╕ рдХрджрдо (рдЙрджрд╛рд╣рд░рдг рдХреЗ рд▓рд┐рдП, рдХреЙрд▓ рдХрд╛ рдкрд╣рд▓рд╛ рд╕рдореВрд╣ рд╕рднреА рдирд┐рдпрдВрддреНрд░рдХреЛрдВ рдХреЛ рдЗрдирд┐рд╢рд┐рдпрд▓рд╛рдЗрдЬрд╝ рдХрд░рддрд╛ рд╣реИ, рджреВрд╕рд░рд╛ рдПрдХреНрд╕ рдФрд░ рд╡рд╛рдИ рдХрдВрдЯреНрд░реЛрд▓рд░ рдХреЛ рдЖрд╡рд╢реНрдпрдХ рдЪрд░рдгреЛрдВ рдХреА рд╕рдВрдЦреНрдпрд╛ рдореЗрдВ рд╕реЗрдЯ рдХрд░рддрд╛ рд╣реИ рдФрд░ рдкреНрд░рдХреНрд░рд┐рдпрд╛ рд╢реБрд░реВ рдХрд░рддрд╛ рд╣реИ):

 int main(void) { CyGlobalIntEnable; /* Enable global interrupts. */ StepperController_X_Start(); StepperController_Y_Start(); StepperController_Z_Start(); StepperController_E0_Start(); StepperController_E1_Start(); StepperController_X_PrepareStep (10,1000); //    StepperController_Y_PrepareStep (50,500); StartSteppers(); //   for(;;) { } } 

рд╣рдо рдкрд░рд┐рдгрд╛рдо рдХреЛ рджреЗрдЦрддреЗ рд╣реИрдВ:



рд╕рдХрд╛рд░рд╛рддреНрдордХ рдирд╛рдбрд╝реА рдХреА рдЕрд╡рдзрд┐ рдХреА рдЬрд╛рдБрдЪ рдХрд░реЗрдВ:



рдпрд╣ рд╕рд╣реА рд╣реИред рдЕрдВрдд рдореЗрдВ, рд╣рдо рдЬрд╛рдВрдЪрддреЗ рд╣реИрдВ рдХрд┐ рдЗрдВрдЯрд░рдкреНрдЯ рдХрд┐рддрдиреА рдЕрдЪреНрдЫреА рддрд░рд╣ рдХрд╛рдо рдХрд░рддрд╛ рд╣реИред рдПрдХ рд╡реИрд╢реНрд╡рд┐рдХ рдХрд╛рдЙрдВрдЯрд░ рдЪрд░ рдЬреЛрдбрд╝реЗрдВ:

 static int nStep=0; 

рдпрд╣ рдЪрд░ рдореБрдЦреНрдп рдлрд╝рдВрдХреНрд╢рди рдореЗрдВ рдПрдХ рдХреЛ рд╕реМрдВрдкрд╛ рдЧрдпрд╛ рд╣реИ, рдФрд░ рдЗрдВрдЯрд░рдкреНрдЯ рд╣реИрдВрдбрд▓рд░ рдлрд╝рдВрдХреНрд╢рди рдореЗрдВ рдмрдврд╝рддрд╛ рд╣реИред рд╕рддреНрдпрд╛рдкрди рдХреЗ рд▓рд┐рдП рд░реБрдХрд╛рд╡рдЯ рд╣реИрдВрдбрд▓рд░ рдХреЗрд╡рд▓ рдПрдХ рдмрд╛рд░ рдлрд╛рдпрд░ рдХрд░реЗрдЧрд╛ред рдореИрдВрдиреЗ рдЗрд╕реЗ рдЗрд╕ рддрд░рд╣ рдмрдирд╛рдпрд╛ рд╣реИ:

 extern "C" { CY_ISR(StepperFinished) { if (nStep == 1) { StepperController_X_PrepareStep (5,500); StartSteppers(); nStep += 1; } } } 

рдФрд░ рдореБрдЦреНрдп рдлрд╝рдВрдХреНрд╢рди рдореЗрдВ, рдореИрдВрдиреЗ рд╢рд╛рдмреНрджрд┐рдХ рд░реВрдк рд╕реЗ рджреЛ рдкрдВрдХреНрддрд┐рдпрд╛рдБ рдЬреЛрдбрд╝реАрдВ: рдЗрдВрдЯрд░рдкреНрдЯ рдХрд╛ рд╕рдорд╛рд╡реЗрд╢ рдФрд░ рдЗрд╕ рдмрд╣реБрдд рд╣реА рдЪрд░ рдХрд╛ рдЕрд╕рд╛рдЗрдирдореЗрдВрдЯред рдФрд░ рдореИрдВ рдкрд╣рд▓реЗ рд╕реЗ рд╣реА рдЕрд╕рд╛рдЗрди рдХрд░рддрд╛ рд╣реВрдВ рдЬрдм рдорд╢реАрдиреЗрдВ рд╢реБрд░реВ рд╣реБрдИрдВред рдЕрдиреНрдпрдерд╛, рдПрдХ рдЧрд▓рдд рд╡реНрдпрд╡рдзрд╛рди рдЕрдиреБрд░реЛрдз рдЖрдпрд╛ред рдЕрдм рдЗрд╕рд╕реЗ рд▓рдбрд╝рдиреЗ рдХрд╛ рдХреЛрдИ рд╡рд┐рд╢реЗрд╖ рдХрд╛рд░рдг рдирд╣реАрдВ рд╣реИред рдкрд░рд┐рдпреЛрдЬрдирд╛ рдПрдХ рдкреНрд░рд╛рдпреЛрдЧрд┐рдХ рд╣реИред



рдПрдХ рд╣реА рдкрд╛рда
 int main(void) { CyGlobalIntEnable; /* Enable global interrupts. */ isr_1_StartEx(StepperFinished); StepperController_X_Start(); StepperController_Y_Start(); StepperController_Z_Start(); StepperController_E0_Start(); StepperController_E1_Start(); /* Place your initialization/startup code here (eg MyInst_Start()) */ StepperController_X_PrepareStep (10,1000); StepperController_Y_PrepareStep (20,500); StartSteppers(); nStep = 1; for(;;) { } } 


рд╣рдо рдкрд░рд┐рдгрд╛рдо рдХреА рдЬрд╛рдВрдЪ рдХрд░рддреЗ рд╣реИрдВ (рджреВрд╕рд░реЗ рдЪрд░рдг рдореЗрдВ рдХреЗрд╡рд▓ рдЗрдВрдЬрди рдПрдХреНрд╕ рдХреЛ рдХрд╛рдо рдХрд░рдирд╛ рдЪрд╛рд╣рд┐рдП, рдФрд░ рдЪрд░рдгреЛрдВ рдХреЛ рдЖрдзрд╛ рд╣реЛ рдЬрд╛рдирд╛ рдЪрд╛рд╣рд┐рдП):



рдпрд╣ рд╕рд╣реА рд╣реИред

рдирд┐рд╖реНрдХрд░реНрд╖


рд╕рд╛рдорд╛рдиреНрдп рддреМрд░ рдкрд░, рдпрд╣ рдкрд╣рд▓реЗ рд╕реЗ рд╣реА рд╕реНрдкрд╖реНрдЯ рд╣реИ рдХрд┐ рдпреВрдбреАрдмреА рдмреНрд▓реЙрдХреЛрдВ рдХрд╛ рдЙрдкрдпреЛрдЧ рди рдХреЗрд╡рд▓ рддреЗрдЬреА рд╕реЗ рд╣рд╛рд░реНрдбрд╡реЗрдпрд░ рдХрд╛рд░реНрдпреЛрдВ рдХреЛ рд╕реНрдерд╛рдкрд┐рдд рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рдХрд┐рдпрд╛ рдЬрд╛ рд╕рдХрддрд╛ рд╣реИ, рдмрд▓реНрдХрд┐ рд╕реЙрдлреНрдЯрд╡реЗрдпрд░ рд╕реЗ рдлрд░реНрдорд╡реЗрдпрд░ рд╕реНрддрд░ рддрдХ рддрд░реНрдХ рдХреЛ рд╕реНрдерд╛рдирд╛рдВрддрд░рд┐рдд рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рднреА рдХрд┐рдпрд╛ рдЬрд╛ рд╕рдХрддрд╛ рд╣реИред рджреБрд░реНрднрд╛рдЧреНрдп рд╕реЗ, рд▓реЗрдЦ рдХреА рдорд╛рддреНрд░рд╛ рдЗрддрдиреА рдмрдбрд╝реА рд╣реЛ рдЧрдИ рд╣реИ рдХрд┐ рд╕рдореАрдХреНрд╖рд╛ рдХреЛ рдкреВрд░рд╛ рдХрд░рдирд╛ рдЕрд╕рдВрднрд╡ рд▓рдЧрддрд╛ рд╣реИ рдФрд░ рдПрдХ рдЕрд╕реНрдкрд╖реНрдЯ рдЬрд╡рд╛рдм рдорд┐рд▓рддрд╛ рд╣реИ рдХрд┐ рдХреНрдпрд╛ рдпреВрдбреАрдмреА рдХреНрд╖рдорддрд╛рдПрдВ рдХрд╛рд░реНрдп рдХреЗ рдЕрдВрддрд┐рдо рд╕рдорд╛рдзрд╛рди рдХреЗ рд▓рд┐рдП рдкрд░реНрдпрд╛рдкреНрдд рд╣реИрдВред рдЕрдм рддрдХ, рдХреЗрд╡рд▓ рдПрдХ рдЧреЛрд▓рд╛рдХрд╛рд░ рдШреЛрдбрд╝рд╛ рдПрдХ рд╡реИрдХреНрдпреВрдо рдореЗрдВ рддреИрдпрд╛рд░ рд╣реИ, рдЬрд┐рдирдореЗрдВ рд╕реЗ рдХреНрд░рд┐рдпрд╛рдПрдВ рд╕рд┐рджреНрдзрд╛рдВрдд рд░реВрдк рдореЗрдВ рдмрд╣реБрдд рдЖрд╡рд╢реНрдпрдХ рд╣реИрдВ, рд▓реЗрдХрд┐рди рд╕реНрдЯреЗрдкрд░ рдореЛрдЯрд░ рдирд┐рдпрдВрддреНрд░рдг рдХреЗ рд╕рд┐рджреНрдзрд╛рдВрдд рд╕реЗ рдкрд░рд┐рдЪрд┐рдд рдПрдХ рдХрд╖реНрдЯрдкреНрд░рдж рдкрд╛рдардХ рдХреЛ рдЗрд╕рдореЗрдВ рдмрд╣реБрдд рд╕рд╛рд░реА рдХрдорд┐рдпрд╛рдВ рдорд┐рд▓реЗрдВрдЧреАред рдкреНрд░рд╕реНрддреБрдд рдЗрдХрд╛рдИ рддреНрд╡рд░рдг рдХрд╛ рд╕рдорд░реНрдерди рдирд╣реАрдВ рдХрд░рддреА рд╣реИ, рдЬрд┐рд╕рдХреЗ рдмрд┐рдирд╛ рдПрдХ рд╡рд╛рд╕реНрддрд╡рд┐рдХ рд╕реНрдЯреЗрдкрд░ рдореЛрдЯрд░ рдХрд╛ рд╕рдВрдЪрд╛рд▓рди рдЕрд╕рдВрднрд╡ рд╣реИред рдмрд▓реНрдХрд┐, рдпрд╣ рд╕рдорд░реНрдерди рдХрд░рддрд╛ рд╣реИ, рд▓реЗрдХрд┐рди рдЗрд╕ рд╕реНрддрд░ рдкрд░ рдПрдХ рдЙрдЪреНрдЪ рдмрд╛рдзрд╛ рджрд░ рдХреА рдЖрд╡рд╢реНрдпрдХрддрд╛ рд╣реЛрдЧреА, рдФрд░ рдЗрд╕рд╕реЗ рдмрдЪрдиреЗ рдХреЗ рд▓рд┐рдП рд╕рдм рдХреБрдЫ рдХрд▓реНрдкрдирд╛ рдХреА рдЧрдИ рдереАред

рдкреНрд░рд╕реНрддреБрдд рдмреНрд▓реЙрдХ рдХреА рдЖрд╡реГрддреНрддрд┐ рд╕реЗрдЯ рдХрд░рдиреЗ рдХреА рд╕рдЯреАрдХрддрд╛ рд╕реНрд╡реАрдХрд╛рд░реНрдп рд╕реЗ рдмрд╣реБрдд рджреВрд░ рд╣реИред рд╡рд┐рд╢реЗрд╖ рд░реВрдк рд╕реЗ, рдпрд╣ 12,000 рдХреЗ рд╡рд┐рднрдХреНрдд рдХреЗ рд╕рд╛рде 1200 рдФрд░ 39966 рд╣рд░реНрдЯреНрдЬ рдХреЗ рд╡рд┐рднрдХреНрдд рдХреЗ рд╕рд╛рде 40,000 рд╣рд░реНрдЯреНрдЬ рдХреА рдкрд▓реНрд╕ рдЖрд╡реГрддреНрддрд┐ рдкреНрд░рджрд╛рди рдХрд░реЗрдЧрд╛ред рдЗрд╕ рдмреНрд▓реЙрдХ рдкрд░ рдЗрди рджреЛ рдореВрд▓реНрдпреЛрдВ рдХреЗ рдмреАрдЪ рдордзреНрдпрд╡рд░реНрддреА рдЖрд╡реГрддреНрддрд┐рдпрд╛рдВ рдЕрдкреНрд░рд╛рдкреНрдп рд╣реИрдВред

рд╢рд╛рдпрдж рдЗрд╕рдореЗрдВ рдХреБрдЫ рдФрд░ рдХрдорд┐рдпрд╛рдБ рднреА рд╣реИрдВред рд▓реЗрдХрд┐рди рдЕрдЧрд░ рдкрд░реНрдпрд╛рдкреНрдд UDB рд╕рдВрд╕рд╛рдзрди рд╣реИрдВ, рддреЛ рд╣рдо рдЕрдЧрд▓реЗ рд▓реЗрдЦ рдореЗрдВ рдЙрдирдХреЗ рд╕рд╛рде рд╡реНрдпрд╡рд╣рд╛рд░ рдХрд░реЗрдВрдЧреЗред

рдЗрд╕ рдмреАрдЪ, рдкрд╛рдардХреЛрдВ рдХреЛ рдЕрдиреНрдп рдЪреАрдЬреЛрдВ рдХреЗ рд╕рд╛рде, рдЦрд░реЛрдВрдЪ рд╕реЗ рдпреВрдбреАрдмреА рдкрд░ рдЖрдзрд╛рд░рд┐рдд рдмреНрд▓реЙрдХ рдмрдирд╛рдиреЗ рдХрд╛ рдПрдХ рд╡рд╛рд╕реНрддрд╡рд┐рдХ рдЙрджрд╛рд╣рд░рдг рдкреНрд░рд╛рдкреНрдд рд╣реБрдЖ рд╣реИред рдЗрд╕ рд▓реЗрдЦ рдХреЗ рд▓реЗрдЦрди рдХреЗ рджреМрд░рд╛рди рдкреНрд░рд╛рдкреНрдд рдкрд░реАрдХреНрд╖рдг рдкрд░рд┐рдпреЛрдЬрдирд╛ рдХреЛ рдпрд╣рд╛рдВ рд▓рд┐рдпрд╛ рдЬрд╛ рд╕рдХрддрд╛ рд╣реИ ред

Source: https://habr.com/ru/post/hi433800/


All Articles