UDBред рдпрд╣ рдХреНрдпрд╛ рд╣реИ? рднрд╛рдЧ 6. рд╕реНрдерд┐рддрд┐ рдФрд░ рдирд┐рдпрдВрддреНрд░рдг рдореЙрдбреНрдпреВрд▓



рдкрд┐рдЫрд▓реЗ рд▓реЗрдЦреЛрдВ рдореЗрдВ, рд╕рд░реВ рдкреНрд░рд▓реЗрдЦрди рдХреЗ рдЕрдиреБрд╡рд╛рдж, рдСрдкрд░реЗрд╢рдирд▓ рдСрдЯреЛрдореЗрдЯрди рдбрд╛рдЯрд╛рдкрде рдкрд░ рдмрд╣реБрдд рд╡рд┐рдЪрд╛рд░ рдХрд┐рдпрд╛ рдЧрдпрд╛ рдерд╛ред рдзреАрд░реЗ-рдзреАрд░реЗ рд╣рдо рдЕрдиреНрдп UDB рдореЙрдбреНрдпреВрд▓ рдХреЗ рдЕрдзреНрдпрдпрди рдкрд░ рдЖрдЧреЗ рдмрдврд╝рддреЗ рд╣реИрдВ, рд╡рд┐рд╢реЗрд╖ рд░реВрдк рд╕реЗ, рдкреНрд░рдмрдВрдзрди рдФрд░ рд╕реНрдерд┐рддрд┐ рдореЙрдбреНрдпреВрд▓ред

рдЪрдХреНрд░ рдХреА рд╕рд╛рдорд╛рдиреНрдп рд╕рд╛рдордЧреНрд░реА тАЬUDBред рдпрд╣ рдХреНрдпрд╛ рд╣реИ? тАЭ
рднрд╛рдЧ 1. рдкрд░рд┐рдЪрдпред рдкреАрдПрд▓рдбреАред
рднрд╛рдЧ 2. рджрддреНрддрдкрдеред
рднрд╛рдЧ 3. рджрддреНрддрдкрде рдлреАрдлреЛред
рднрд╛рдЧ 4. рджрддреНрддрдкрде ALUред
рднрд╛рдЧ 5. рджрддреНрддрдкрдеред рдЙрдкрдпреЛрдЧреА рдЫреЛрдЯреА рдЪреАрдЬреЗрдВред
рднрд╛рдЧ 6. рдкреНрд░рдмрдВрдзрди рдФрд░ рд╕реНрдерд┐рддрд┐ рдореЙрдбреНрдпреВрд▓ред (рд╡рд░реНрддрдорд╛рди рд▓реЗрдЦ)
рднрд╛рдЧ 7. рд╕рдордп рдФрд░ рдирд┐рдпрдВрддреНрд░рдг рдореЙрдбреНрдпреВрд▓ рд░реАрд╕реЗрдЯ рдХрд░реЗрдВ
рднрд╛рдЧ 8. UDB рдХреЛ рд╕рдВрдмреЛрдзрд┐рдд рдХрд░рддреЗ рд╣реБрдП

21.3.3ред рдкреНрд░рдмрдВрдзрди рдФрд░ рд╕реНрдерд┐рддрд┐ рдореЙрдбреНрдпреВрд▓


рдирд┐рдпрдВрддреНрд░рдг рдореЙрдбреНрдпреВрд▓ рдФрд░ рд╕реНрдерд┐рддрд┐ рдХрд╛ рдПрдХ рдЙрдЪреНрдЪ-рд╕реНрддрд░реАрдп рджреГрд╢реНрдп рдЪрд┐рддреНрд░ 21-28 рдореЗрдВ рджрд┐рдЦрд╛рдпрд╛ рдЧрдпрд╛ рд╣реИред рдирд┐рдпрдВрддреНрд░рдг рд░рдЬрд┐рд╕реНрдЯрд░ рдХреА рд╕реНрдерд┐рддрд┐ рдмрд┐рдЯреНрд╕ рдХреЛ рдЯреНрд░реЗрд╕ рд╕рдВрд╕рд╛рдзрдиреЛрдВ рдореЗрдВ рд╕реНрдерд╛рдирд╛рдВрддрд░рд┐рдд рдХрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИ, рдЬрд┐рд╕рд╕реЗ рдХрд╛рд░реНрдпрдХреНрд░рдо рдХреЛ рдпреВрдбреАрдмреА рдХреЗ рд╡реНрдпрд╡рд╣рд╛рд░ рдХреЛ рдирд┐рдпрдВрддреНрд░рд┐рдд рдХрд░рдиреЗ рдХреА рдХреНрд╖рдорддрд╛ рдорд┐рд▓рддреА рд╣реИред рд╕реНрдерд┐рддрд┐ рд░рдЬрд┐рд╕реНрдЯрд░ рдЯреНрд░реЗрд╕рд┐рдВрдЧ рд▓рд╛рдЗрдиреЛрдВ рд╕реЗ рдбреЗрдЯрд╛ рдкреНрд░рд╛рдкреНрдд рдХрд░рддрд╛ рд╣реИ, рдЗрд╕рд▓рд┐рдП рдХрд╛рд░реНрдпрдХреНрд░рдо рдпреВрдбреАрдмреА рджреНрд╡рд╛рд░рд╛ рдХрд┐рдП рдЧрдП рдХрд╛рд░реНрдпреЛрдВ рдХреА рдирд┐рдЧрд░рд╛рдиреА рдХрд░ рд╕рдХрддрд╛ рд╣реИред


рдЪрд┐рддреНрд░ 21-28ред рдкреНрд░рдмрдВрдзрди рдФрд░ рд╕реНрдерд┐рддрд┐ рдХреЗ рд░рдЬрд┐рд╕реНрдЯрд░ред

рдирд┐рдпрдВрддреНрд░рдг рдореЙрдбреНрдпреВрд▓ рдФрд░ рд╕реНрдерд┐рддрд┐ рдХреА рд╕рдВрд░рдЪрдирд╛ рдХреЛ рдЪрд┐рддреНрд░ 21-29 рдореЗрдВ рдЕрдзрд┐рдХ рд╡рд┐рд╕реНрддрд╛рд░ рд╕реЗ рджрд┐рдЦрд╛рдпрд╛ рдЧрдпрд╛ рд╣реИред рдЗрд╕ рдЗрдХрд╛рдИ рдХрд╛ рдореБрдЦреНрдп рд▓рдХреНрд╖реНрдп рдЖрдВрддрд░рд┐рдХ UDB рддрддреНрд╡реЛрдВ рдХреЗ рдХрд╛рдо рдХреЗ рд╕рд╛рде рдкреНрд░реЛрд╕реЗрд╕рд░ рдХреЛрд░ рдкреНрд░реЛрдЧреНрд░рд╛рдо рдХреА рдмрд╛рддрдЪреАрдд рдХрд╛ рд╕рдордиреНрд╡рдп рдХрд░рдирд╛ рд╣реИред рд╣рд╛рд▓рд╛рдВрдХрд┐, рдЯреНрд░реЗрд╕ рдореИрдЯреНрд░рд┐рдХреНрд╕ рдХреЗ рд╕рд╛рде рдордЬрдмреВрдд рд╕рдВрдмрдВрдз рдХреЗ рдХрд╛рд░рдг, рдЗрд╕ рдмреНрд▓реЙрдХ рдХреЛ рдЕрдиреНрдп рдХрд╛рд░реНрдпреЛрдВ рдХреЛ рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рдХреЙрдиреНрдлрд╝рд┐рдЧрд░ рдХрд┐рдпрд╛ рдЬрд╛ рд╕рдХрддрд╛ рд╣реИред


рдЪрд┐рддреНрд░ 21-29ред рдирд┐рдпрдВрддреНрд░рдг рдФрд░ рд╕реНрдерд┐рддрд┐ рдореЙрдбреНрдпреВрд▓ред

рдСрдкрд░реЗрдЯрд┐рдВрдЧ рдореЛрдб:

  • рд╕реНрдерд┐рддрд┐ рдЗрдирдкреБрдЯ рдмрд╛рд╣рд░ рд╕реЗ рдШрд╛рдпрд▓ рд▓рд╛рдЗрдиреЛрдВ рдХреА рд╕реНрдерд┐рддрд┐ рдореЗрдВ рдкреНрд░рд╡реЗрд╢ рдХрд┐рдпрд╛ рдЬрд╛ рд╕рдХрддрд╛ рд╣реИ рдФрд░ рд╕реНрдерд┐рддрд┐ рдХреЗ рд░реВрдк рдореЗрдВ рдХрдмреНрдЬрд╛ рдХрд░ рд▓рд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИ, рдЬрд┐рд╕рдХреЗ рдмрд╛рдж рд╕реАрдкреАрдпреВ рдпрд╛ рдбреАрдПрдордП рдкрдврд╝рд╛ рдЬрд╛рддрд╛ рд╣реИред
  • рдирд┐рдпрдВрддреНрд░рдг рдЙрддреНрдкрд╛рджрди рд╕реАрдкреАрдпреВ рдпрд╛ рдбреАрдПрдордП рдХрдВрдЯреНрд░реЛрд▓ рд░рдЬрд┐рд╕реНрдЯрд░ рдореЗрдВ рдбреЗрдЯрд╛ рд▓рд┐рдЦ тАЛтАЛрд╕рдХрддрд╛ рд╣реИред рдпрд╣ рдбреЗрдЯрд╛ рдЯреНрд░реЗрд╕ рд╕рдВрд╕рд╛рдзрдиреЛрдВ рдореЗрдВ рдЬрд╛рдиреЗ рд╡рд╛рд▓реА рд▓рд╛рдЗрдиреЛрдВ рдХреА рд╕реНрдерд┐рддрд┐ рдХреЛ рдирд┐рд░реНрдзрд╛рд░рд┐рдд рдХрд░реЗрдЧрд╛ред
  • рд╕рдорд╛рдирд╛рдВрддрд░ рдЗрдирдкреБрдЯ - рд╕рдорд╛рдирд╛рдВрддрд░ рдЗрдирдкреБрдЯ рдбрд╛рдЯрд╛рдкрде рдХреЗ рд▓рд┐рдПред
  • рд╕рдорд╛рдирд╛рдВрддрд░ рдЖрдЙрдЯрдкреБрдЯ - рдбрд╛рдЯрд╛рдкрде рдХреЗ рд╕рдорд╛рдирд╛рдВрддрд░ рдЖрдЙрдЯрдкреБрдЯ рд╕реЗред
  • рдХрд╛рдЙрдВрдЯрд░ рдореЛрдб рдЗрд╕ рдореЛрдб рдореЗрдВ, рдирд┐рдпрдВрддреНрд░рдг рд░рдЬрд┐рд╕реНрдЯрд░ рдПрдХ рдкреНрд░реЛрдЧреНрд░рд╛рдордпреЛрдЧреНрдп рдЕрд╡рдзрд┐ рдФрд░ рд╕реНрд╡рдЪрд╛рд▓рд┐рдд рд░реАрд╕реЗрдЯ рдХреЗ рд╕рд╛рде 7-рдмрд┐рдЯ рдШрдЯрддреЗ рдХрд╛рдЙрдВрдЯрд░ рдХреЗ рд░реВрдк рдореЗрдВ рд╕рдВрдЪрд╛рд▓рд┐рдд рд╣реЛрддрд╛ рд╣реИред рдЯреНрд░реЗрд╕ рдЪреИрдирд▓ рдЗрдирдкреБрдЯ рдХреЛ рдХрд╛рдЙрдВрдЯрд░ рдХреЗ рд░реАрд╕реЗрдЯ рдФрд░ рд░реАрд╕реЗрдЯ рджреЛрдиреЛрдВ рдХреЛ рдирд┐рдпрдВрддреНрд░рд┐рдд рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рдХреЙрдиреНрдлрд╝рд┐рдЧрд░ рдХрд┐рдпрд╛ рдЬрд╛ рд╕рдХрддрд╛ рд╣реИред рдЬрдм рдпрд╣ рдореЛрдб рд╕рдХреНрд░рд┐рдп рд╣реЛрддрд╛ рд╣реИ, рддреЛ рдирд┐рдпрдВрддреНрд░рдг рд░рдЬрд┐рд╕реНрдЯрд░ рдХреА рдХрд╛рд░реНрдпрдХреНрд╖рдорддрд╛ рдЙрдкрд▓рдмреНрдз рдирд╣реАрдВ рд╣реИред
  • рд╕рд┐рдВрдХреНрд░реЛрдирд╕ рдореЛрдб / рд╕рд┐рдВрдХ рдореЛрдб ред рдЗрд╕ рдореЛрдб рдореЗрдВ, рд╕реНрдЯреЗрдЯрд╕ рд░рдЬрд┐рд╕реНрдЯрд░ 4-рдмрд┐рдЯ рдбреБрдЕрд▓ рд╕рд┐рдВрдХреНрд░рдирд╛рдЗрдЬрд╝рд░ рдХреЗ рд░реВрдк рдореЗрдВ рдХрд╛рд░реНрдп рдХрд░рддрд╛ рд╣реИред рдЬрдм рдпрд╣ рдореЛрдб рд╕рдХреНрд░рд┐рдп рд╣реЛрддрд╛ рд╣реИ, рддреЛ рд╕реНрдерд┐рддрд┐ рд░рдЬрд┐рд╕реНрдЯрд░ рдХреА рдХрд╛рд░реНрдпрдХреНрд╖рдорддрд╛ рдЙрдкрд▓рдмреНрдз рдирд╣реАрдВ рд╣реЛрддреА рд╣реИред

21.3.3.1 рд╕реНрдерд┐рддрд┐ рдФрд░ рдирд┐рдпрдВрддреНрд░рдг рдореЛрдб


рдирд┐рдпрдВрддреНрд░рдг рдФрд░ рд╕реНрдерд┐рддрд┐ рдореЛрдб рдореЗрдВ рдХрд╛рдо рдХрд░рддреЗ рд╕рдордп, рдпрд╣ рдореЙрдбреНрдпреВрд▓ рдПрдХ рд╕реНрдЯреЗрдЯрд╕ рд░рдЬрд┐рд╕реНрдЯрд░, рдорд╛рд╕реНрдХ рдУрд╡рд░рд░рд╛рдЗрдб рд░рдЬрд┐рд╕реНрдЯрд░, рдФрд░ рдЪрд┐рддреНрд░ 21-30 рдореЗрдВ рджрд┐рдЦрд╛рдП рдЧрдП рдХреЙрдиреНрдлрд╝рд┐рдЧрд░реЗрд╢рди рдореЗрдВ рдирд┐рдпрдВрддреНрд░рдг рд░рдЬрд┐рд╕реНрдЯрд░ рдХреЗ рд░реВрдк рдореЗрдВ рдХрд╛рд░реНрдп рдХрд░рддрд╛ рд╣реИред


рдЪрд┐рддреНрд░ 1,14ред рдиреМрдХрд░реА рдкреНрд░рдмрдВрдзрди рдФрд░ рд╕реНрдерд┐рддрд┐ред

рд╕реНрдерд┐рддрд┐ рд░рдЬрд┐рд╕реНрдЯрд░ рдСрдкрд░реЗрд╢рди


рдкреНрд░рддреНрдпреЗрдХ UDB рдореЗрдВ рдПрдХ 8-рдмрд┐рдЯ рд╕реНрдерд┐рддрд┐ рд░рдЬрд┐рд╕реНрдЯрд░ рд╣реИред рдЗрд╕ рд░рдЬрд┐рд╕реНрдЯрд░ рдХрд╛ рдЗрдирдкреБрдЯ рдбрд┐рдЬрд┐рдЯрд▓ рдЯреНрд░реЗрд╕ рд╕рдВрд░рдЪрдирд╛ рдХреЗ рдХрд┐рд╕реА рднреА рд╕рдВрдХреЗрдд рд╕реЗ рдЖрддрд╛ рд╣реИред рд╕реНрдерд┐рддрд┐ рд░рдЬрд┐рд╕реНрдЯрд░ рд╡рд┐рдирд╛рд╢рдХрд╛рд░реА рд╣реИ: рдпрд╣ рдиреАрдВрдж рдХреЗ рджреМрд░рд╛рди рдЕрдкрдиреА рд╕реНрдерд┐рддрд┐ рдЦреЛ рджреЗрддрд╛ рд╣реИ рдФрд░ рдЬрд╛рдЧрдиреЗ рдХреЗ рдмрд╛рдж 0x00 рдХрд╛ рдореВрд▓реНрдп рд╣реЛрддрд╛ рд╣реИред рдкреНрд░рддреНрдпреЗрдХ рдмрд┐рдЯ рдХреЛ рд╕реНрд╡рддрдВрддреНрд░ рд░реВрдк рд╕реЗ рджреЛ рдореЛрдб рдореЗрдВ рд╕рдВрдЪрд╛рд▓рд┐рдд рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рдкреНрд░реЛрдЧреНрд░рд╛рдо рдХрд┐рдпрд╛ рдЬрд╛ рд╕рдХрддрд╛ рд╣реИред

рддрд╛рд▓рд┐рдХрд╛ 21-19ред рд╕реНрдерд┐рддрд┐ рд░рдЬрд┐рд╕реНрдЯрд░ред

STAT рдХреЗ рдПрдордбреАрд╡рд┐рд╡рд░рдг
0рд╕рд╛рдорд╛рдиреНрдп рдкрдардиред рдЗрдирдкреБрдЯ рд╕рд┐рдЧреНрдирд▓ рдХрд╛ рд╡рд░реНрддрдорд╛рди рдорд╛рди рд▓реМрдЯрд╛рддрд╛ рд╣реИред
1рдкрдврд╝рддреЗ рд╕рдордп рдЪрд┐рдкрдХреА рд╣реБрдИ, рд╕рд╛рдлрд╝ред рд╣рд╛рдИ рдЗрдирдкреБрдЯ рд▓реЗрд╡рд▓
рдПрдХ рдШрдбрд╝реА рд╕рдВрдХреЗрдд рдкрд░ред рд░рдЬрд┐рд╕реНрдЯрд░ рдкрдврдиреЗ рдХреЗ рдмрд╛рдж рд╕рд╛рдлрд╝ рд╣реЛ рдЧрдпрд╛ред

рд╕реНрдЯреЗрдЯрд╕ рд░рдЬрд┐рд╕реНрдЯрд░ рдХреНрд▓рд┐рдпрд░рд┐рдВрдЧ рдСрдкрд░реЗрд╢рди рдХреА рдПрдХ рдорд╣рддреНрд╡рдкреВрд░реНрдг рд╡рд┐рд╢реЗрд╖рддрд╛ рдпрд╣ рд╣реИ рдХрд┐ рдХреЗрд╡рд▓ рдХреЙрдХ рдХрд┐рдП рдЧрдП рдмрд┐рдЯреНрд╕ рдХреЛ рдордВрдЬреВрд░реА рджреА рдЬрд╛рддреА рд╣реИред рдпрд╣ рдкреНрд░рдХреНрд░рд┐рдпрд╛ рдХреА рдирд┐рд░рдВрддрд░рддрд╛ рдмрдирд╛рдП рд░рдЦрдиреЗ рдХреЗ рд▓рд┐рдП рд╢реЗрд╖ рдмрд┐рдЯреНрд╕ рдХреЛ рдХреИрдкреНрдЪрд░рд┐рдВрдЧ рд╕реНрдерд┐рддрд┐ рдЬрд╛рд░реА рд░рдЦрдиреЗ рдХреА рдЕрдиреБрдорддрд┐ рджреЗрддрд╛ рд╣реИред

рд╕рд╛рдорд╛рдиреНрдп рдкрдврд╝рдиреЗ рдХреА рд╕реНрдерд┐рддрд┐


рдбрд┐рдлрд╝реЙрд▓реНрдЯ рд░реВрдк рд╕реЗ, рд╕реАрдкреАрдпреВ рдкрд╛рд░рджрд░реНрд╢реА рд░реВрдк рд╕реЗ рд╕рдВрдмрдВрдзрд┐рдд рд╕рд░реНрдХрд┐рдЯ рдХреА рд╕реНрдерд┐рддрд┐ рдХреЛ рдкрдврд╝рддрд╛ рд╣реИред рдЗрд╕ рдореЛрдб рдХрд╛ рдЙрдкрдпреЛрдЧ UDB рдХреЗ рдЕрдВрджрд░ рдбреЗрдЯрд╛ рдХреЛ рдкрдврд╝рдиреЗ рдХреЗ рд▓рд┐рдП рдХрд┐рдпрд╛ рдЬрд╛ рд╕рдХрддрд╛ рд╣реИред

рдкрдврд╝рдиреЗ рдХреЗ рдмрд╛рдж рд╕реНрдкрд╖реНрдЯ рдХреЗ рд╕рд╛рде рдЫрдбрд╝реА рдХреА рд╕реНрдерд┐рддрд┐


рдЗрд╕ рдореЛрдб рдореЗрдВ, рдкреНрд░рддреНрдпреЗрдХ рдХрдВрдЯреНрд░реЛрд▓ рдФрд░ рд╕реНрдЯреЗрдЯрд╕ рдХреНрд▓реЙрдХ рд╕рд╛рдЗрдХрд┐рд▓ рдкрд░ рд╕реНрдЯреЗрдЯрд╕ рд░рдЬрд┐рд╕реНрдЯрд░ рдЗрдирдкреБрдЯреНрд╕ рдХрд╛ рдирдореВрдирд╛ рд▓рд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИред рдпрджрд┐ рдХрд┐рд╕реА рджрд┐рдП рдЧрдП рдШрдбрд╝реА рдкрд░ рд╕рд┐рдЧреНрдирд▓ рдЕрдзрд┐рдХ рд╣реИ, рддреЛ рдпрд╣ рд╕реНрдерд┐рддрд┐ рдмрд┐рдЯ рдореЗрдВ рдХреИрдкреНрдЪрд░ рдХрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИ рдФрд░ рдмрд╛рдж рдХреЗ рдЗрдирдкреБрдЯ рд░рд╛рдЬреНрдпреЛрдВ рдХреА рдкрд░рд╡рд╛рд╣ рдХрд┐рдП рдмрд┐рдирд╛ рдЙрдЪреНрдЪ рд░рд╣рддрд╛ рд╣реИред рдЬрдм CPU рдпрд╛ DMA рд╕реНрдЯреЗрдЯрд╕ рд░рдЬрд┐рд╕реНрдЯрд░ рдкрдврд╝рддрд╛ рд╣реИ, рддреЛ рдмрд┐рдЯ рдХреНрд▓рд┐рдпрд░ рд╣реЛ рдЬрд╛рддрд╛ рд╣реИред рд╕реНрдЯреЗрдЯрд╕ рд░рдЬрд┐рд╕реНрдЯрд░ рд╕рд╛рдлрд╝ рдХрд░рдирд╛ рдореЛрдб рд╕реНрд╡рддрдВрддреНрд░ рд╣реИ рдФрд░ рддрдм рднреА рд╣реЛрддрд╛ рд╣реИ рдЬрдм UDB рдХреНрд▓реЙрдХрд┐рдВрдЧ рдЕрдХреНрд╖рдо рд╣реЛ рдЬрд╛рддреА рд╣реИ; рдпрд╣ рдмрд╕ рдХреЗ рд╕рдордп рдкрд░ рдЖрдзрд╛рд░рд┐рдд рд╣реИ рдФрд░ рдПрдХ рд░реАрдб рдСрдкрд░реЗрд╢рди рдХреЗ рд╣рд┐рд╕реНрд╕реЗ рдХреЗ рд░реВрдк рдореЗрдВ рд╣реЛрддрд╛ рд╣реИред

рдкрдврд╝рддреЗ рд╕рдордп рд▓рдЪрд░ рд╕реНрдерд┐рддрд┐


рдЪрд┐рддреНрд░ 21-31 рд╕реНрдерд┐рддрд┐ рдкрдврд╝рдиреЗ рддрд░реНрдХ рдХреА рд╕рдВрд░рдЪрдирд╛ рдХреЛ рджрд░реНрд╢рд╛рддрд╛ рд╣реИред рдЪрд┐рдкрдЪрд┐рдкрд╛ рд╕реНрдерд┐рддрд┐ рд░рдЬрд┐рд╕реНрдЯрд░ рдПрдХ рдХреБрдВрдбреА рджреНрд╡рд╛рд░рд╛ рдкреАрдЫрд╛ рдХрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИ рдЬреЛ рд╕реНрдерд┐рддрд┐ рд░рдЬрд┐рд╕реНрдЯрд░ рдбреЗрдЯрд╛ рдХреЛ рд▓реЗрдЯ рдЬрд╛рддрд╛ рд╣реИ рдФрд░ рдЗрд╕реЗ рдкрдврд╝рдиреЗ рдХреЗ рдЪрдХреНрд░ рдХреЗ рджреМрд░рд╛рди рд╕реНрдерд┐рд░ рд░рдЦрддрд╛ рд╣реИ, рднрд▓реЗ рд╣реА рд╡рд░реНрддрдорд╛рди рдкрдврд╝рдиреЗ рдХреЗ рд╕рдВрдЪрд╛рд▓рди рдореЗрдВ рдкреНрд░рддреАрдХреНрд╖рд╛ рдЯрд┐рдХрдЯреЛрдВ рдХреА рд╕рдВрдЦреНрдпрд╛ рд╣реЛред


рдЪрд┐рддреНрд░ 21-31ред рдкрдврд╝рдиреЗ рдХреА рд╕реНрдерд┐рддрд┐ рдХрд╛ рддрд░реНрдХред

рдмрд╛рдзрд┐рдд рдХрд░рдиреЗ рд╡рд╛рд▓реА рдкреАрдврд╝реА


рдЕрдзрд┐рдХрд╛рдВрд╢ рдХрд╛рд░реНрдпреЛрдВ рдореЗрдВ, рд░реБрдХрд╛рд╡рдЯ рдкреАрдврд╝реА рдХреЛ рд╕реНрдерд┐рддрд┐ рдмрд┐рдЯ рдорд╛рдкрджрдВрдбреЛрдВ рд╕реЗ рдмрд╛рдВрдзрд╛ рдЬрд╛рддрд╛ рд╣реИред рдЬреИрд╕рд╛ рдХрд┐ рдЪрд┐рддреНрд░ 21-31 рдореЗрдВ рджрд┐рдЦрд╛рдпрд╛ рдЧрдпрд╛ рд╣реИ, рдпрд╣ рдлреАрдЪрд░ рдорд╛рд╕реНрдХрд┐рдВрдЧ рдСрдкрд░реЗрд╢рди рдХреЗ рд░реВрдк рдореЗрдВ рд╕реНрдерд┐рддрд┐ рд░рдЬрд┐рд╕реНрдЯрд░ рд▓реЙрдЬрд┐рдХ рдореЗрдВ рдмрдирд╛рдпрд╛ рдЧрдпрд╛ рд╣реИ рдФрд░ рдУрдЖрд░ рдСрдкрд░реЗрд╢рди рдХреЛ рд╕реНрдЯреЗрдЯрд╕ рдкрд░ рд▓рд╛рдЧреВ рдХрд░рддрд╛ рд╣реИред рдЕрдВрддрд░реНрдирд┐рд╣рд┐рдд рдЗрдирдкреБрдЯ рдЬреЗрдирд░реЗрдЯрд░ рдХреЗ рд╕рд╛рде рдХреЗрд╡рд▓ рдирд┐рдореНрди 7 рдмрд┐рдЯ рд╕реНрдерд┐рддрд┐ рд╕реНрдерд┐рддрд┐ рдЗрдирдкреБрдЯ рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд┐рдпрд╛ рдЬрд╛ рд╕рдХрддрд╛ рд╣реИред рд╕рдмрд╕реЗ рдорд╣рддреНрд╡рдкреВрд░реНрдг рдмрд┐рдЯ (рд╕рдмрд╕реЗ рдорд╣рддреНрд╡рдкреВрд░реНрдг рдмрд┐рдЯ, рдПрдордПрд╕рдмреА) рдЖрдорддреМрд░ рдкрд░ рдПрдХ рдЗрдВрдЯрд░рдкреНрдЯ рдЖрдЙрдЯрдкреБрдЯ рдХреЗ рд░реВрдк рдореЗрдВ рдЙрдкрдпреЛрдЧ рдХрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИ рдФрд░ рдбрд┐рдЬрд┐рдЯрд▓ рдЯреНрд░реЗрд╕рд┐рдВрдЧ рдЪреИрдирд▓реЛрдВ рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░рдХреЗ рдЗрдВрдЯрд░рдкреНрдЯ рдХрдВрдЯреНрд░реЛрд▓рд░ рдХреЗ рд▓рд┐рдП рднреЗрдЬрд╛ рдЬрд╛ рд╕рдХрддрд╛ рд╣реИред рдЗрд╕ рдХреЙрдиреНрдлрд╝рд┐рдЧрд░реЗрд╢рди рдореЗрдВ, рдЙрдЪреНрдЪ рдЕрдиреБрд░реЛрдз рд░рдЬрд┐рд╕реНрдЯрд░ рдмрд┐рдЯ рд╕реЗ рд░реБрдХрд╛рд╡рдЯ рдЕрдиреБрд░реЛрдз рдмрд┐рдЯ рдХреА рд╕реНрдерд┐рддрд┐ рдХреЛ рдкрдврд╝рд╛ рдЬрд╛рддрд╛ рд╣реИред

21.3.3.2 рдХрдВрдЯреНрд░реЛрд▓ рд░рдЬрд┐рд╕реНрдЯрд░ рдСрдкрд░реЗрд╢рди


рдкреНрд░рддреНрдпреЗрдХ UDB рдореЗрдВ рдПрдХ 8-рдмрд┐рдЯ рдХрдВрдЯреНрд░реЛрд▓ рд░рдЬрд┐рд╕реНрдЯрд░ рдЙрдкрд▓рдмреНрдз рд╣реИред рдпрд╣ рд╕рд┐рд╕реНрдЯрдо рдмрд╕ рдореЗрдВ рдПрдХ рдорд╛рдирдХ рд░реАрдб / рд░рд╛рдЗрдЯ рд░рдЬрд┐рд╕реНрдЯрд░ рдХреЗ рд░реВрдк рдореЗрдВ рдХрд╛рдо рдХрд░рддрд╛ рд╣реИ, рдЬрд╣рд╛рдБ рдЗрди рдЯреНрд░реЗрд╕ рдмрд┐рдЯреНрд╕ рдХреЗ рдЖрдЙрдЯрдкреБрдЯ рдХреЛ рдбрд┐рдЬрд┐рдЯрд▓ рдЯреНрд░реЗрд╕ рд╕рдВрд░рдЪрдирд╛ рдХреА рд░реЗрдЦрд╛рдУрдВ рджреНрд╡рд╛рд░рд╛ рдирд┐рдпрдВрддреНрд░рд┐рдд рдХрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИред

рдирд┐рдпрдВрддреНрд░рдг рд░рдЬрд┐рд╕реНрдЯрд░ рд╡рд┐рдирд╛рд╢рдХрд╛рд░реА рд╣реИ: рдпрд╣ рдиреАрдВрдж рдХреЗ рджреМрд░рд╛рди рдЕрдкрдиреА рд╕реНрдерд┐рддрд┐ рдЦреЛ рджреЗрддрд╛ рд╣реИ рдФрд░ рдЬрд╛рдЧрдиреЗ рдХреЗ рдмрд╛рдж 0x00 рдХрд╛ рдореВрд▓реНрдп рд╣реЛрддрд╛ рд╣реИред

рдкреНрд░рдмрдВрдзрди рд░рдЬрд┐рд╕реНрдЯрд░ рдореЛрдб


рдкреНрд░рддреНрдпреЗрдХ рдмрд┐рдЯ рдХреЛ рддреАрди рдореЛрдб рдореЗрдВ рд╕реЗ рдПрдХ рдореЗрдВ рдХреЙрдиреНрдлрд╝рд┐рдЧрд░ рдХрд┐рдпрд╛ рдЬрд╛ рд╕рдХрддрд╛ рд╣реИред рдХреЙрдиреНрдлрд╝рд┐рдЧрд░реЗрд╢рди рджреЛ 8-рдмрд┐рдЯ рд░рдЬрд┐рд╕реНрдЯрд░ CTL_MD1 [7: 0] рдФрд░ CTL_MD0 [7: 0] рдХреЗ рдмрд┐рдЯреНрд╕ рдХреЛ рдорд┐рд▓рд╛рдХрд░ рдирд┐рд░реНрджрд┐рд╖реНрдЯ рдХрд┐рдпрд╛ рдЧрдпрд╛ рд╣реИред рдЙрджрд╛рд╣рд░рдг рдХреЗ рд▓рд┐рдП, {CTL_MD1 [0], CTL_MD0 [0]} рдирд┐рдпрдВрддреНрд░рдг рд░рдЬрд┐рд╕реНрдЯрд░ рдХреЗ рд╢реВрдиреНрдп рдмрд┐рдЯ рдореЛрдб рдХреЛ рдирд┐рдпрдВрддреНрд░рд┐рдд рдХрд░рддрд╛ рд╣реИ (рддрд╛рд▓рд┐рдХрд╛ 21-20 рджреЗрдЦреЗрдВ)ред

рддрд╛рд▓рд┐рдХрд╛ 21-20ред рдХрдВрдЯреНрд░реЛрд▓ рд░рдЬрд┐рд╕реНрдЯрд░ рдЬреАрд░реЛ рдмрд┐рдЯ рдореЛрдб
CTL рдХреЗ рдПрдордбреАрд╡рд┐рд╡рд░рдг
00рдкреНрд░рддреНрдпрдХреНрд╖ рдореЛрдб
01рд╕рд┐рдВрдХ рдореЛрдб
10(рдЖрд░рдХреНрд╖рд┐рдд)
11рдкрд▓реНрд╕ рдореЛрдб

рдбрд╛рдпрд░реЗрдХреНрдЯ рдХрдВрдЯреНрд░реЛрд▓ рд░рдЬрд┐рд╕реНрдЯрд░ рдореЛрдб


рдбрд┐рдлрд╝реЙрд▓реНрдЯ рд░реВрдк рд╕реЗ, рдореЛрдб рдкреНрд░рддреНрдпрдХреНрд╖ рд╣реИред рдЬреИрд╕рд╛ рдХрд┐ рдЪрд┐рддреНрд░ 21-32 рдореЗрдВ рджрд┐рдЦрд╛рдпрд╛ рдЧрдпрд╛ рд╣реИ, рдЬрдм рд╕реАрдкреАрдпреВ рдпрд╛ рдбреАрдПрдордП рдХреЛ рдирд┐рдпрдВрддреНрд░рдг рд░рдЬрд┐рд╕реНрдЯрд░ рдкрд░ рд▓рд┐рдЦрд╛ рдЬрд╛рддрд╛ рд╣реИ, рдирд┐рдпрдВрддреНрд░рдг рд░рдЬрд┐рд╕реНрдЯрд░ рдХрд╛ рдЖрдЙрдЯрдкреБрдЯ рдЙрд╕реА рдЪрдХреНрд░ рдореЗрдВ рд╕реАрдзреЗ рдЯреНрд░реЗрд╕ рд▓рд╛рдЗрди рдкрд░ рднреЗрдЬрд╛ рдЬрд╛рддрд╛ рд╣реИред


рдЪрд┐рддреНрд░ 21-32ред рдкреНрд░рддреНрдпрдХреНрд╖ рдирд┐рдпрдВрддреНрд░рдг рд░рдЬрд┐рд╕реНрдЯрд░ рдореЛрдбред

рд╕рд┐рдВрдХреНрд░реЛрдирд╕ рдХрдВрдЯреНрд░реЛрд▓ рд░рдЬрд┐рд╕реНрдЯрд░ рдореЛрдб


рддреБрд▓реНрдпрдХрд╛рд▓рд┐рдХ рдореЛрдб рдореЗрдВ, рдЬреИрд╕рд╛ рдХрд┐ рдЪрд┐рддреНрд░ 21-33 рдореЗрдВ рджрд┐рдЦрд╛рдпрд╛ рдЧрдпрд╛ рд╣реИ, рдирд┐рдпрдВрддреНрд░рдг рд░рдЬрд┐рд╕реНрдЯрд░ рдХрд╛ рдЖрдЙрдЯрдкреБрдЯ рдирд┐рдпрдВрддреНрд░рдг рдФрд░ рд╕реНрдерд┐рддрд┐ (рд╕реНрдерд┐рддрд┐ рдФрд░ рдирд┐рдпрдВрддреНрд░рдг, рдПрд╕рд╕реА) рдХреА рд╡рд░реНрддрдорд╛рди рдШрдбрд╝реА рдХреЗ рдмрд░рд╛рдмрд░ рдШрдбрд╝реА рдХреЗ рд╕рд╛рде рдлрд┐рд░ рд╕реЗ рд╕рд┐рдВрдХреНрд░рдирд╛рдЗрдЬрд╝ рдХрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИред рдпрд╣ рдЖрдкрдХреЛ рдЪрдпрдирд┐рдд SC рдЖрд╡реГрддреНрддрд┐ рдкрд░ рдЖрдЙрдЯрдкреБрдЯ рдХреЗ рд╕рдордп рдЖрд░реЗрдЦреЛрдВ рдХреЛ рдирд┐рдпрдВрддреНрд░рд┐рдд рдХрд░рдиреЗ рдХреА рдЕрдиреБрдорддрд┐ рджреЗрддрд╛ рд╣реИ, рдФрд░ рдмрд╕ рдШрдбрд╝реА рдХреА рдЖрд╡реГрддреНрддрд┐ рдкрд░ рдирд╣реАрдВред


рдЪрд┐рддреНрд░ 21-33ред рд╕рд┐рдВрдХреНрд░реЛрдирд╕ рдХрдВрдЯреНрд░реЛрд▓ рд░рдЬрд┐рд╕реНрдЯрд░ рдореЛрдбред

рдкрд▓реНрд╕ рдореЛрдб рдХрдВрдЯреНрд░реЛрд▓ рд░рдЬрд┐рд╕реНрдЯрд░


рдкрд▓реНрд╕ рдореЛрдб рддреБрд▓реНрдпрдХрд╛рд▓рд┐рдХ рдореЛрдб рдХреЗ рд╕рдорд╛рди рд╣реИ, рдХреНрдпреЛрдВрдХрд┐ рдЗрд╕рдореЗрдВ рдирд┐рдпрдВрддреНрд░рдг рдмрд┐рдЯ рдПрд╕рд╕реА рдЖрд╡реГрддреНрддрд┐ рдкрд░ рдлрд┐рд░ рд╕реЗ рдирдореВрдирд╛ рд▓рд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИ; рдкрд▓реНрд╕ рдкрд╣рд▓реЗ SC рдХреНрд▓реЙрдХ рдЪрдХреНрд░ рдкрд░ рд╢реБрд░реВ рд╣реЛрддрд╛ рд╣реИ рдФрд░ рдмрд╕ рд░рд╛рдЗрдЯ рд╕рд╛рдЗрдХрд┐рд▓ рдХрд╛ рдЕрдиреБрд╕рд░рдг рдХрд░рддрд╛ рд╣реИред рдирд┐рдпрдВрддреНрд░рдг рдмрд┐рдЯ рдЖрдЙрдЯрдкреБрдЯ рдПрдХ рдкреВрд░реНрдг SC рдШрдбрд╝реА рдЪрдХреНрд░ рдХреЗ рджреМрд░рд╛рди рд╕реЗрдЯ рдХрд┐рдпрд╛ рдЧрдпрд╛ рд╣реИред рдЗрд╕ рдШрдбрд╝реА рдЪрдХреНрд░ рдХреЗ рдЕрдВрдд рдореЗрдВ, рдирд┐рдпрдВрддреНрд░рдг рдмрд┐рдЯ рд╕реНрд╡рдЪрд╛рд▓рд┐рдд рд░реВрдк рд╕реЗ рд░реАрд╕реЗрдЯ рд╣реЛ рдЬрд╛рддрд╛ рд╣реИред

рдСрдкрд░реЗрд╢рди рдХреЗ рдЗрд╕ рдореЛрдб рдХреЗ рд╕рд╛рде, рдкреНрд░реЛрдЧреНрд░рд╛рдо рдкрд▓реНрд╕ рдЙрддреНрдкрдиреНрди рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП 1 рдХреЛ рдХрдВрдЯреНрд░реЛрд▓ рд░рдЬрд┐рд╕реНрдЯрд░ рдмрд┐рдЯ рдореЗрдВ рд▓рд┐рдЦ рд╕рдХрддрд╛ рд╣реИред рдмрд┐рдЯ рдХреЛ рдорд╛рди 1 рдЕрд╕рд╛рдЗрди рдХрд░рдиреЗ рдХреЗ рдмрд╛рдж, рдкреНрд░реЛрдЧреНрд░рд╛рдо рдЗрд╕реЗ 1 рдХреЗ рд░реВрдк рдореЗрдВ рдкрд▓реНрд╕ рдХреЗ рдЕрдВрдд рддрдХ рдкрдврд╝реЗрдЧрд╛, рдЬрд┐рд╕рдХреЗ рдмрд╛рдж рдЗрд╕реЗ 0. рдХреЗ рд░реВрдк рдореЗрдВ рдкрдврд╝рд╛ рдЬрд╛рдПрдЧрд╛ред рдЙрд╕рдХреЗ рдмрд╛рдж, рдкреНрд░реЛрдЧреНрд░рд╛рдо рдПрдХ рдФрд░ 1 рд▓рд┐рдЦ рд╕рдХрддрд╛ рд╣реИ рдПрдХ рдирдИ рдкрд▓реНрд╕ рд╢реБрд░реВ рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдПред рдЗрд╕ рдкреНрд░рдХрд╛рд░, рдПрд╕рд╕реА рд╕рд┐рдЧреНрдирд▓ рдХреЗ рд╣рд░ рджреВрд╕рд░реЗ рдЪрд░рдг рдХреА рддреБрд▓рдирд╛ рдореЗрдВ рдЕрдзрд┐рдХ рдмрд╛рд░ рдПрдХ рдкрд▓реНрд╕ рджреЗрдирд╛ рд╕рдВрднрд╡ рдирд╣реАрдВ рд╣реЛрдЧрд╛ред

рд░реАрд╕реЗрдЯ рдкреНрд░рдмрдВрдзрди рд░рдЬрд┐рд╕реНрдЯрд░


рдирд┐рдпрдВрддреНрд░рдг рд░рдЬрд┐рд╕реНрдЯрд░ рдореЗрдВ рдПрдХреНрд╕рдЯреА рдЖрд░рдИрдПрд╕ рдХреЙрдиреНрдлрд╝рд┐рдЧрд░реЗрд╢рди рдмрд┐рдЯ рджреНрд╡рд╛рд░рд╛ рдирд┐рдпрдВрддреНрд░рд┐рдд рджреЛ рд░реАрд╕реЗрдЯ рдореЛрдб рд╣реИрдВ, рдЬреИрд╕рд╛ рдХрд┐ рдЪрд┐рддреНрд░ 21-34 рдореЗрдВ рджрд┐рдЦрд╛рдпрд╛ рдЧрдпрд╛ рд╣реИред рдЬрдм EXT RES, рд╕рд┐рдВрдХреНрд░реЛрдирд╕ рдпрд╛ рдкрд▓реНрд╕ рдореЛрдб рдореЗрдВ 0 (рдбрд┐рдлрд╝реЙрд▓реНрдЯ) рд╣реЛрддрд╛ рд╣реИ, рддреЛ рдЯреНрд░реИрд╕ рд░реАрд╕реЗрдЯ рдЗрдирдкреБрдЯ рд╕рд┐рдВрдХреНрд░рдирд╛рдЗрдЬрд╝ рдЖрдЙрдЯрдкреБрдЯ рдХреЛ рд░реАрд╕реЗрдЯ рдХрд░рддрд╛ рд╣реИ, рд▓реЗрдХрд┐рди рдХрдВрдЯреНрд░реЛрд▓ рдмрд┐рдЯ рдХреЛ рд╣реА рдирд╣реАрдВред рдЬрдм EXT RES 1 рд╣реЛрддрд╛ рд╣реИ, рддреЛ рдЯреНрд░реЗрд╕ рдХрд░рдиреЗ рдпреЛрдЧреНрдп рд░реАрд╕реЗрдЯ рдЗрдирдкреБрдЯ рдирд┐рдпрдВрддреНрд░рдг рдмрд┐рдЯ рдФрд░ рд╕рд┐рдВрдХреНрд░рдирд╛рдЗрдЬрд╝ рдЖрдЙрдЯрдкреБрдЯ рджреЛрдиреЛрдВ рдХреЛ рд░реАрд╕реЗрдЯ рдХрд░рддрд╛ рд╣реИред


рдЪрд┐рддреНрд░ 21-34ред рдкреНрд░рдмрдВрдзрди рд░рдЬрд┐рд╕реНрдЯрд░ рд░реАрд╕реЗрдЯ рдХрд░реЗрдВред

21.3.3.3 рд╕рдорд╛рдирд╛рдВрддрд░ рдЗрдирдкреБрдЯ / рдЖрдЙрдЯрдкреБрдЯ рдореЛрдб


рдЗрд╕ рдореЛрдб рдореЗрдВ, рдирд┐рдпрдВрддреНрд░рдг рдФрд░ рд╕реНрдерд┐рддрд┐ рдЯреНрд░реЗрд╕рд┐рдВрдЧ рд╕рдорд╛рдирд╛рдВрддрд░ рдореЗрдВ рдФрд░ рд╕рдорд╛рдирд╛рдВрддрд░ рдЖрдЙрдЯ рдбреЗрдЯрд╛рдкрде рд╕рдВрдХреЗрддреЛрдВ рд╕реЗ рдЬреБрдбрд╝рд╛ рд╣реБрдЖ рд╣реИред рдЗрд╕ рдореЛрдб рдХреЛ рд╕рдХреНрд░рд┐рдп рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП, рдЖрдкрдХреЛ рд╕рдорд╛рдирд╛рдВрддрд░ рдбрд╛рдЯрд╛рдкрд╛рдЯ рдЖрдЙрдЯрдкреБрдЯ рдХрд╛ рдЪрдпрди рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП SC OUT рдХреЙрдиреНрдлрд╝рд┐рдЧрд░реЗрд╢рди рдмрд┐рдЯреНрд╕ рдХреЛ рдХреЙрдХ рдХрд░рдирд╛ рд╣реЛрдЧрд╛ред рд╕рдорд╛рдирд╛рдВрддрд░ рдЗрдирдкреБрдЯ рдХреЗ рд╕рд╛рде рд╕рдВрдЪрд╛рд░ рд╣рдореЗрд╢рд╛ рдЙрдкрд▓рдмреНрдз рд╣реЛрддрд╛ рд╣реИ, рд╣рд╛рд▓рд╛рдВрдХрд┐, рдпреЗ рдЯреНрд░реЗрд╕ рдХрдиреЗрдХреНрд╢рди рд╕реНрдЯреЗрдЯрд╕ рд░рдЬрд┐рд╕реНрдЯрд░ рдЗрдирдкреБрдЯреНрд╕, рдХрд╛рдЙрдВрдЯрд░ рдХрдВрдЯреНрд░реЛрд▓ рдЗрдирдкреБрдЯ рдФрд░ рдЗрдВрдЯрд░рдкреНрдЯ рдЖрдЙрдЯрдкреБрдЯ рдХреЗ рд╕рд╛рде рд╕рд╛рдЭрд╛ рдХрд┐рдП рдЬрд╛рддреЗ рд╣реИрдВред


рдЪрд┐рддреНрд░рд╛ред рд╕рдорд╛рдирд╛рдВрддрд░ I / O рдореЛрдбред

21.3.3.4 рдХрд╛рдЙрдВрдЯрд░ рдореЛрдб


рдЬреИрд╕рд╛ рдХрд┐ рдЪрд┐рддреНрд░ 21-36 рдореЗрдВ рджрд┐рдЦрд╛рдпрд╛ рдЧрдпрд╛ рд╣реИ, рдЬрдм рдЗрдХрд╛рдИ рдХрд╛рдЙрдВрдЯрд░ рдореЛрдб рдореЗрдВ рд╕рдВрдЪрд╛рд▓рд┐рдд рд╣реЛрддреА рд╣реИ, рдпреВрдбреАрдмреА рдХреЗ рднреАрддрд░ рд╕рдВрдЪрд╛рд▓рди рдФрд░ рдХрд╛рд░реНрдпрдХреНрд░рдо рдХреА рдЬрд░реВрд░рддреЛрдВ рдХреЗ рд▓рд┐рдП рджреЛрдиреЛрдВ рдХреЗ рдЙрдкрдпреЛрдЧ рдХреЗ рд▓рд┐рдП 7-рдмрд┐рдЯ рдШрдЯрддрд╛ рдХрд╛рдЙрдВрдЯрд░ рдЙрдкрд▓рдмреНрдз рд╣реИред рдореАрдЯрд░ рдХреА рд╡рд┐рд╢реЗрд╖рддрд╛рдУрдВ рдореЗрдВ рд╢рд╛рдорд┐рд▓ рд╣реИрдВ:

  • 7-рдмрд┐рдЯ рдЕрд╡рдзрд┐ рд░рдЬрд┐рд╕реНрдЯрд░, (рдкрдврд╝реЗрдВ / рд▓рд┐рдЦреЗрдВ)ред
  • 7-рдмрд┐рдЯ рдЦрд╛рддрд╛ рд░рдЬрд┐рд╕реНрдЯрд░, (рдкрдврд╝реЗрдВ / рд▓рд┐рдЦреЗрдВ)ред рдПрдХреНрд╕реЗрд╕ рддрднреА рд╕рдВрднрд╡ рд╣реИ рдЬрдм рдХрд╛рдЙрдВрдЯрд░ рдмрдВрдж рдХрд░ рджрд┐рдпрд╛ рдЬрд╛рдПред
  • рд╢реВрдиреНрдп рддрдХ рдкрд╣реБрдВрдЪрдиреЗ рдкрд░ рдЦрд╛рддрд╛ рд░рдЬрд┐рд╕реНрдЯрд░ рдореЗрдВ рдЕрд╡рдзрд┐ рдХреЛ рд╕реНрд╡рдЪрд╛рд▓рд┐рдд рд░реВрдк рд╕реЗ рдкреБрдирдГ рд▓реЛрдб рдХрд░рддрд╛ рд╣реИред
  • рд╕рд╣рд╛рдпрдХ рдирд┐рдпрдВрддреНрд░рдг рд░рдЬрд┐рд╕реНрдЯрд░ CNT START рдореЗрдВ рд╕реЙрдлрд╝реНрдЯрд╡реЗрдпрд░-рдПрдХреНрд╕реЗрд╕ рдХрдВрдЯреНрд░реЛрд▓ рдмрд┐рдЯ, рдХрд╛рдЙрдВрдЯрд░ рдХреЛ рд╢реБрд░реВ рдХрд░рдиреЗ рдФрд░ рд░реЛрдХрдиреЗ рдХреЗ рд▓рд┐рдП рдЙрдкрдпреЛрдЧ рдХрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИред (рдпрд╣ рд╕рдХреНрд╖рдо рд╣рд╛рд░реНрдбрд╡реЗрдпрд░ рд╕рдВрдХреЗрдд рдХреЛ рдУрд╡рд░рд▓реИрдк рдХрд░рддрд╛ рд╣реИ рдФрд░ рдЗрд╕реЗ рдХрд╛рд░реНрдп рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рд╡реИрдХрд▓реНрдкрд┐рдХ рд╕рдХреНрд╖рдо рд╣рд╛рд░реНрдбрд╡реЗрдпрд░ рд╕рдВрдХреЗрдд рдХреЗ рд▓рд┐рдП рд╕реНрдерд╛рдкрд┐рдд рдХрд┐рдпрд╛ рдЬрд╛рдирд╛ рдЪрд╛рд╣рд┐рдП)ред
  • рдкреНрд░рд╛рд░рдВрдн рдФрд░ рднрд╛рд░ рдХрд╛рд░реНрдпреЛрдВ рдХреЗ рд▓рд┐рдП рд╡реИрдХрд▓реНрдкрд┐рдХ рдЧрддрд┐рд╢реАрд▓ рдХрд╛рдЙрдВрдЯрд░ рдирд┐рдпрдВрддреНрд░рдг рдХреЗ рдЯреНрд░реЗрд╕ рдЪреИрдирд▓реЛрдВ рдХреЗ рдЪрдпрди рдмрд┐рдЯреНрд╕:
    - рдЧрд┐рдирддреА рд╢реБрд░реВ рдХрд░рдиреЗ рдпрд╛ рд░реЛрдХрдиреЗ рдХреЗ рд▓рд┐рдП рдПрди, рдЯреНрд░реЗрд╕ рд╕рд┐рдЧреНрдирд▓ред
    - рдПрд▓рдбреА, рдПрдХ рдЯреНрд░реЗрд╕ рдХрд░рдиреЗ рдпреЛрдЧреНрдп рд▓реЛрдб рд╕рд┐рдЧреНрдирд▓ рдЬреЛ рдПрдХ рдЕрд╡рдзрд┐ рдкреБрдирдГ рд▓реЛрдб рдХрд╛ рдХрд╛рд░рдг рдмрдирддрд╛ рд╣реИред рдЬрдм рдЗрд╕ рд╕рд┐рдЧреНрдирд▓ рдХреЛ рдХреЙрдХ рдХрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИ, рддреЛ рдпрд╣ рд▓рдВрдмрд┐рдд рдПрдВрдб рд╕рд┐рдЧреНрдирд▓ рдХреЛ рдУрд╡рд░рд▓реИрдк рдХрд░рддрд╛ рд╣реИред рдпрд╣ рд╕реНрддрд░ рдХреЗ рдкреНрд░рддрд┐ рд╕рдВрд╡реЗрджрдирд╢реАрд▓ рд╣реИ, рдФрд░ рдЬрдм рд╕рдВрдХреЗрдд рд▓рд╛рджрд╛ рдЬрд╛рддрд╛ рд╣реИ, рддреЛ рдЕрд╡рдзрд┐ рд▓реЛрдб рд╣реЛрддреА рд░рд╣рддреА рд╣реИред
  • рд╕рдВрд╕рд╛рдзрдиреЛрдВ рдХреЛ рдЯреНрд░реЗрд╕ рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП 7-рдмрд┐рдЯ рдХрд╛рдЙрдВрдЯрд░ рднреЗрдЬрд╛ рдЬрд╛ рд╕рдХрддрд╛ рд╣реИ [6: 0]ред
  • рд╕рдорд╛рдкреНрддрд┐ рд╕рдВрдХреЗрдд sc_out [7] рдХреЗ рд░реВрдк рдореЗрдВ рдЯреНрд░реЗрд╕ рд╕рдВрд╕рд╛рдзрдиреЛрдВ рдореЗрдВ рдкреНрд░рд╡реЗрд╢ рдХрд░ рд╕рдХрддрд╛ рд╣реИред
  • "рдбрд┐рдлрд╝реЙрд▓реНрдЯ" рдореЛрдб рдореЗрдВ, рдЧрд┐рдирддреА рдореЛрдб рдХреЗ рд▓рд┐рдП рд╕реНрдиреИрдкрд┐рдВрдЧ рдореЛрдб рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИред рд╡реИрдХрд▓реНрдкрд┐рдХ рдореЛрдб рдореЗрдВ, рдпрд╣ рд╕рдВрдпреЛрдЬрди рдореЛрдб рдореЗрдВ рдмрджрд▓ рдЬрд╛рддрд╛ рд╣реИред
  • рдбрд┐рдлрд╝реЙрд▓реНрдЯ рдореЛрдб рдореЗрдВ, рд╡реИрдХрд▓реНрдкрд┐рдХ рд╣рд╛рд░реНрдбрд╡реЗрдпрд░ рдПрди рд╕рд┐рдЧреНрдирд▓, рдпрджрд┐ рдЙрдкрдпреЛрдЧ рдХрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИ, рддреЛ рдПрд▓рдбреА рд╣рд╛рд░реНрдбрд╡реЗрдпрд░ рд╕рд┐рдЧреНрдирд▓ рдХреЛ рд╕рдХреНрд╖рдо рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рд╕реЗрдЯ рдХрд┐рдпрд╛ рдЬрд╛рдирд╛ рдЪрд╛рд╣рд┐рдПред рд╡реИрдХрд▓реНрдкрд┐рдХ рдореЛрдб рдореЗрдВ, рдПрд▓рдбреА рдФрд░ рдПрди рд╣рд╛рд░реНрдбрд╡реЗрдпрд░ рд╕рд┐рдЧреНрдирд▓ рд╕реНрд╡рддрдВрддреНрд░ рд╣реИрдВред


рдЪрд┐рддреНрд░ 21-36ред рдХрд╛рдЙрдВрдЯрд░ рдореЛрдб
рдЕрдиреБрд╡рд╛рджрдХ рдиреЛрдЯ:
рдЗрд╕ рдЬрдЧрд╣ рдХреЗ рдЖрд╕рдкрд╛рд╕, рдореБрдЭреЗ рдПрд╣рд╕рд╛рд╕ рд╣реБрдЖ рдХрд┐ рдореИрдВ рджрд╕реНрддрд╛рд╡реЗрдЬрд╝ рд╕реЗ рдкреВрд░реА рддрд░рд╣ рд╕реЗ рдХреБрдЫ рднреА рдирд╣реАрдВ рд╕рдордЭрддрд╛ рд╣реВрдВред рдХрд╣реАрдВ рднреА рдЗрд╕ "рдбрд┐рдлрд╝реЙрд▓реНрдЯ" рдФрд░ "рд╡реИрдХрд▓реНрдкрд┐рдХ" рдореЛрдб рдХрд╛ рд╡рд░реНрдгрди рдирд╣реАрдВ рдХрд┐рдпрд╛ рдЧрдпрд╛ рд╣реИред рдПрдХ рд▓рдВрдмреА рдЦреЛрдЬ рдХреЗ рдмрд╛рдж, рдореИрдВ рдХреБрдЫ рдЦреЛрдЬрдиреЗ рдореЗрдВ рдХрд╛рдордпрд╛рдм рд░рд╣рд╛, рд▓реЗрдХрд┐рди рдПрдХ рдЙрджрд╛рд╣рд░рдгред
рдлрд╝рд╛рдЗрд▓: C: \ Program Files (x86) \ Cypress \ PSoC Creator \ 4.2 \ PSoC Creator \ psoc \ content \ CyComponentLibrary \ CyComponentLibrary.cylib \ bScanComp -v1_10 \ bScanComp_v1_10.v.v
рд╡реНрдпрд╛рдЦреНрдпрд╛рддреНрдордХ рдХреЛрдб:



рдПрдХ рд╣реА рдкрд╛рда:
cy_psoc3_count7 #(.cy_period(Period),.cy_route_ld(0),.cy_route_en(1), .cy_alt_mode(1)) ChannelCounter( /* input */ .clock(clk_int), /* input */ .reset(1'b0), /* input */ .load(1'b0), /* input */ .enable(enable_int), /* output [06:00] */ .count(count), /* output */ .tc(tc_o) ); 


рдШрдЯрдХ cy_psoc3_count7 рдХреА рдмрд╣реБрдд рд╣реА рдШреЛрд╖рдгрд╛ рдореБрдЭреЗ рдХреЗрд╡рд▓ VHDL рднрд╛рд╖рд╛ рдХреЗ рд▓рд┐рдП рдорд┐рд▓реА, рдРрд╕рд╛ рд▓рдЧрддрд╛ рд╣реИ рдХрд┐ Verilog рдХреЗ рд▓рд┐рдП рдЗрд╕реЗ рд╡рд┐рдХрд╛рд╕ рдЙрдкрдХрд░рдг рдореЗрдВ рдмрдирд╛рдпрд╛ рдЧрдпрд╛ рд╣реИред рдЕрдм рдЖрдк рдореЛрдЯреЗ рддреМрд░ рдкрд░ рдЬрд╛рдирддреЗ рд╣реИрдВ рдХрд┐ рдЪрд░реНрдЪрд╛ рдХреЗ рддрд╣рдд рдЯреНрдпреВрдирд┐рдВрдЧ рдмрд┐рдЯреНрд╕ рдХреЛ рдХрд╣рд╛рдВ рджреЗрдЦрдирд╛ рд╣реИред

рдпрд╣рд╛рдВ рд╣рдо рд░рд╣рд╕реНрдпрдордп рдмрд┐рдЯреНрд╕ рдкрд░ рд╡рд┐рдЪрд╛рд░ рдХрд░рддреЗ рд╣реИрдВ, рдЬрд┐рдиреНрд╣реЗрдВ рдпрд╛ рддреЛ SC OUT CTL рдпрд╛ SC_OUT_CTL рдХрд╣рд╛ рдЬрд╛рддрд╛ рд╣реИ, рд▓реЗрдХрд┐рди рдЙрдирдХреЗ рдореВрд▓реНрдпреЛрдВ рдХрд╛ рджрд╕реНрддрд╛рд╡реЗрдЬреАрдХрд░рдг рдирд╣реАрдВ рдХрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИред рдореИрдВ рдЙрдиреНрд╣реЗрдВ рдХрд╣реАрдВ рднреА рдирд╣реАрдВ рдорд┐рд▓рд╛ред рдкрд╛рда рд╕реЗ рдпрд╣ рд╕реНрдкрд╖реНрдЯ рд╣реИ рдХрд┐ рд╡реЗ рдореЛрдб рдХреЗ рдмреАрдЪ STATUS_CONTROL рдШрдЯрдХ рдХреЛ рд╕реНрд╡рд┐рдЪ рдХрд░рддреЗ рд╣реИрдВред рд▓реЗрдХрд┐рди рдкрд╣рд▓реЗ рдЙрд▓реНрд▓рд┐рдЦрд┐рдд VHDL рдлрд╝рд╛рдЗрд▓ C: \ Program Files (x86) \ Cypress \ PSoC рдирд┐рд░реНрдорд╛рддрд╛ \ 4.2 \ PSoC рдирд┐рд░реНрдорд╛рддрд╛ \ рддрд╛рдирд╛ \ lib \ lcpsoc3 \ cpsoc3.vhd

рд╣рдо рдЕрд▓рдЧ рд╕реЗ рджреЗрдЦрдиреЗ рдХреЗ рд▓рд┐рдП рдХрд╛рдЙрдВрдЯрд░ рдкрд╣рд▓реЗ рд╕реЗ рд╣реА рд╣рдореЗрдВ рдкрддрд╛ рд╣реИ
 component cy_psoc3_count7 generic(cy_period : std_logic_vector (6 downto 0) := "1111111"; cy_init_value : std_logic_vector (6 downto 0) := "0000000"; cy_route_ld : boolean := false; cy_route_en : boolean := false; cy_alt_mode : boolean := false); port (clock : in std_logic; reset : in std_logic; load : in std_logic; enable : in std_logic; count : out std_logic_vector (6 downto 0); tc : out std_logic); end component; 


рдЕрд▓рдЧ рд╕реЗ - рд╕реНрдерд┐рддрд┐ рдФрд░ рдкреНрд░рдмрдВрдзрди
  attribute atomic_rtl of cy_psoc3_status : component is rtl_generic; attribute cpu_access of cy_psoc3_status : component is true; component cy_psoc3_statusi generic(cy_force_order : boolean := false; cy_md_select : std_logic_vector (6 downto 0) := "0000000"; cy_int_mask : std_logic_vector (6 downto 0) := "0000000"); port (reset : in std_logic := '0'; clock : in std_logic := '0'; status : in std_logic_vector (6 downto 0); interrupt : out std_logic); end component; attribute atomic_rtl of cy_psoc3_statusi : component is rtl_generic; attribute cpu_access of cy_psoc3_statusi : component is true; component cy_psoc3_control generic(cy_init_value : std_logic_vector (7 downto 0) := "00000000"; cy_force_order : boolean := false; cy_ctrl_mode_1 : std_logic_vector (7 downto 0) := "00000000"; cy_ctrl_mode_0 : std_logic_vector (7 downto 0) := "00000000"; cy_ext_reset : boolean := false); port (reset : in std_logic := '0'; clock : in std_logic := '0'; control : out std_logic_vector (7 downto 0)); end component; 


рдЕрд▓рдЧ рд╕реЗ - рд╕рд┐рдВрдХреНрд░рдирд╛рдЗрдЬрд╝рд░
 component cy_psoc3_sync port (clock : in std_logic := '0'; sc_in : in std_logic; sc_out : out std_logic); end component; 


рдореИрдВ рджреЛрд╣рд░рд╛рддрд╛ рд╣реВрдВ рдХрд┐ рд╡реЗрд░рд┐рд▓реЙрдЧ рдХреЗ рдкрд╛рд╕ рдЗрди рдШреЛрд╖рдгрд╛рдУрдВ рдХрд╛ рдПрдХ рд╕рдВрд╕реНрдХрд░рдг рдирд╣реАрдВ рд╣реИ (C: \ Program Files (x86) \ Cypress \ PSoC Creator \ 4.2 \ PSoC Creator \ warp / lib \ sim рдирд┐рд░реНрджреЗрд╢рд┐рдХрд╛ рдореЗрдВ рдХреЗрд╡рд▓ рд╡реНрдпрд╡рд╣рд╛рд░ рдореЙрдбрд▓ рд╣реИрдВ, рдЗрд╕рд▓рд┐рдП рдореБрдЭреЗ рд▓рдЧрддрд╛ рд╣реИ рдХрд┐ рдмрд┐рдЯреНрд╕ рд╕реЗрдЯрд┐рдВрдЧ рдореЛрдб рд╕рд░рд▓ рдкреНрд░реЛрдЧреНрд░рд╛рдорд░ рдХреЗ рд▓рд┐рдП рдЙрдкрд▓рдмреНрдз рдирд╣реАрдВ рд╣реИрдВред рдЗрд╕ рдЦрдВрдб рдХреЛ рдкрдврд╝рддреЗ рд╕рдордп, рдХрд┐рд╕реА рдХреЛ рдЗрд╕ рддрдереНрдп рдХреЛ рдзреНрдпрд╛рди рдореЗрдВ рд░рдЦрдирд╛ рдЪрд╛рд╣рд┐рдПред рд╕рдВрджрд░реНрдн рдХреЗ рд▓рд┐рдП рд╡рд┐рд╢реБрджреНрдз рд░реВрдк рд╕реЗ рдХреБрдЫ рджрд┐рдпрд╛ рдЧрдпрд╛ рд╣реИ, рдпрд╣ рд╣рдорд╛рд░реЗ рд▓рд┐рдП рдкреНрд░реЛрдЧреНрд░рд╛рдорд░ рдХреЗ рдЕрдзреАрди рдирд╣реАрдВ рд╣реИред
рдХрд╛рдЙрдВрдЯрд░ рдореЛрдб рдХреЛ рд╕рдХреНрд╖рдо рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП, рдХрд╛рдЙрдВрдЯрд░ рдЖрдЙрдЯрдкреБрдЯ рдХреЛ SC_OUT_CTI [1: 0] рдмрд┐рдЯреНрд╕ рдореЗрдВ рдЪреБрдирд╛ рдЬрд╛рдирд╛ рдЪрд╛рд╣рд┐рдПред рдЗрд╕ рдореЛрдб рдореЗрдВ, рдирд┐рдпрдВрддреНрд░рдг рд░рдЬрд┐рд╕реНрдЯрд░ рдХрд╛ рд╕рд╛рдорд╛рдиреНрдп рд╕рдВрдЪрд╛рд▓рди рдЙрдкрд▓рдмреНрдз рдирд╣реАрдВ рд╣реИред рдЙрд╕реА рд╕рдордп, рд╕реНрдЯреЗрдЯрд╕ рд░рдЬрд┐рд╕реНрдЯрд░ рдХрд╛ рдЙрдкрдпреЛрдЧ рд░реАрдб рдСрдкрд░реЗрд╢рдВрд╕ рдХреЗ рд▓рд┐рдП рдХрд┐рдпрд╛ рдЬрд╛ рд╕рдХрддрд╛ рд╣реИ, рд▓реЗрдХрд┐рди рдЖрдкрдХреЛ рдЗрд╕рдХрд╛ рдЙрдкрдпреЛрдЧ рдПрдХ рдмрд╛рдзрд╛ рдЙрддреНрдкрдиреНрди рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рдирд╣реАрдВ рдХрд░рдирд╛ рдЪрд╛рд╣рд┐рдП, рдХреНрдпреЛрдВрдХрд┐ рдорд╛рд╕реНрдХ рдореИрдкрд┐рдВрдЧ рд░рдЬрд┐рд╕реНрдЯрд░ рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд╛рдЙрдВрдЯрд░ рдкреАрд░рд┐рдпрдб рд░рдЬрд┐рд╕реНрдЯрд░ рдХреЗ рд░реВрдк рдореЗрдВ рднреА рдХрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИред рдЕрд╡рдзрд┐ рд░рдЬрд┐рд╕реНрдЯрд░ рдирд╖реНрдЯ рдирд╣реАрдВ рд╣реБрдЖ рд╣реИ рдФрд░ рдЬрд╛рдЧрдиреЗ рдХреЗ рдмрд╛рдж рдЕрдкрдиреА рд╕реНрдерд┐рддрд┐ рдХреЛ рдмрд░рдХрд░рд╛рд░ рд░рдЦрддрд╛ рд╣реИред рдПрди рдЙрдкрд╛рдпреЛрдВ рдХреА рдЕрд╡рдзрд┐ рдХреЗ рд▓рд┐рдП, рдореВрд▓реНрдп рдПрди -1 рдХреЛ рд░рдЬрд┐рд╕реНрдЯрд░ рд░рдЬрд┐рд╕реНрдЯрд░ рдореЗрдВ рд▓реЛрдб рдХрд┐рдпрд╛ рдЬрд╛рдирд╛ рдЪрд╛рд╣рд┐рдПред рдореВрд▓реНрдп рдПрди = 1 (рдЕрд╡рдзрд┐ рд╢реВрдиреНрдп рд╣реИ) рдХреЗ рд░реВрдк рдореЗрдВ рдЖрд╡реГрддреНрддрд┐ рд╡рд┐рднрдХреНрдд рдХрд╛ рдореВрд▓реНрдп рд╕рдорд░реНрдерд┐рдд рдирд╣реАрдВ рд╣реИ рдФрд░ рдЯреАрд╕реА (рдЯрд░реНрдорд┐рдирд▓ рдХрд╛рдЙрдВрдЯ, рдЯреАрд╕реА) рдХреЗ рдЙрддреНрдкрд╛рджрди рдореЗрдВ рдПрдХ рдирд┐рд░рдВрддрд░ рдЗрдХрд╛рдИ рдХрд╛ рдиреЗрддреГрддреНрд╡ рдХрд░реЗрдЧрд╛ред SYNC рдореЛрдб рдХреА рдЙрдкрд▓рдмреНрдзрддрд╛ рдЗрд╕ рдмрд╛рдд рдкрд░ рдирд┐рд░реНрднрд░ рдХрд░рддреА рд╣реИ рдХрд┐ рдбрд╛рдпрдиреЗрдорд┐рдХ рдХрдВрдЯреНрд░реЛрд▓ (LD / EN) рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИ рдпрд╛ рдирд╣реАрдВред рдпрджрд┐ рдЗрд╕рдХрд╛ рдЙрдкрдпреЛрдЧ рдирд╣реАрдВ рдХрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИ, рддреЛ рдпрд╣ SYNC рдореЛрдб рдХреЛ рдкреНрд░рднрд╛рд╡рд┐рдд рдирд╣реАрдВ рдХрд░рддрд╛ рд╣реИред рдпрджрд┐ рдЙрдкрдпреЛрдЧ рдХрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИ, рддреЛ SYNC рдореЛрдб рдЙрдкрд▓рдмреНрдз рдирд╣реАрдВ рд╣реИред

21.3.3.5 рд╕рд┐рдВрдХ рдореЛрдб


рдЬреИрд╕рд╛ рдХрд┐ рдЪрд┐рддреНрд░ 21-37 рдореЗрдВ рджрд┐рдЦрд╛рдпрд╛ рдЧрдпрд╛ рд╣реИ, рдпрджрд┐ рдПрд╕рдПрдирдПрдирд╕реА рдПрдордбреА рдмрд┐рдЯ рд╕реЗрдЯ рд╣реИ, рддреЛ рд╕реНрдерд┐рддрд┐ рд░рдЬрд┐рд╕реНрдЯрд░ рд╡рд░реНрддрдорд╛рди SC_CLK рдорд╛рди рдХреЗ рд╕рд╛рде рд╕рд┐рдВрдХреНрд░рдирд╛рдЗрдЬрд╝ 4-рдмрд┐рдЯ рджреЛрд╣рд░реА рдШрдбрд╝реА рдХреЗ рд░реВрдк рдореЗрдВ рдХрд╛рдо рдХрд░ рд╕рдХрддрд╛ рд╣реИред рдЗрд╕ рдореЛрдб рдХрд╛ рдЙрдкрдпреЛрдЧ рдЕрддреБрд▓реНрдпрдХрд╛рд▓рд┐рдХ рд╕рдВрдХреЗрддреЛрдВ рдХреЗ рд╕реНрдерд╛рдиреАрдп рд╕рд┐рдВрдХреНрд░рдирд╛рдЗрдЬрд╝реЗрд╢рди рдХреЛ рд▓рд╛рдЧреВ рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рдХрд┐рдпрд╛ рдЬрд╛ рд╕рдХрддрд╛ рд╣реИ (рдЙрджрд╛рд╣рд░рдг рдХреЗ рд▓рд┐рдП, GPIO рдЗрдирдкреБрдЯ)ред рдЗрд╕ рд╕реНрдерд┐рддрд┐ рдореЗрдВ, рд╕рд┐рдВрдХреНрд░рдирд╛рдЗрдЬрд╝ рдХрд┐рдП рдЧрдП рд╕рдВрдХреЗрддреЛрдВ рдХреЛ SC_IN [3: 0] рд╕реЗ рдЪреБрдирд╛ рдЬрд╛рддрд╛ рд╣реИ, рдЖрдЙрдЯрдкреБрдЯ SC_IO_OUT [3: 0] рд╕реЗ рд╕рдВрдкрд░реНрдХ рдХрд┐рдП рдЬрд╛рддреЗ рд╣реИрдВ, рдФрд░ SYNC MD рдЕрдкрдиреЗ рдЖрдк рд╕рдВрдкрд░реНрдХ SC_IO рдХреЛ рдЖрдЙрдЯрдкреБрдЯ рдореЛрдб рдкрд░ рд╕реНрд╡рд┐рдЪ рдХрд░ рджреЗрддрд╛ рд╣реИред рдЗрд╕ рдореЛрдб рдореЗрдВ, рд╕реНрдЯреЗрдЯрд╕ рд░рдЬрд┐рд╕реНрдЯрд░ рдХрд╛ рд╕рд╛рдорд╛рдиреНрдп рд╕рдВрдЪрд╛рд▓рди рдЙрдкрд▓рдмреНрдз рдирд╣реАрдВ рд╣реИ, рдФрд░ рд╕реНрдЯрд┐рдХ рд╕реНрдЯреЗрдЯрд╕ рдмрд┐рдЯ рдореЛрдб рдХреЛ рдореЛрдб рдХрдВрдЯреНрд░реЛрд▓ рд╕реЗрдЯрд┐рдВрдЧреНрд╕ рдХреА рдкрд░рд╡рд╛рд╣ рдХрд┐рдП рдмрд┐рдирд╛ рдЬрдмрд░рди рдЕрдХреНрд╖рдо рдХрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИред рдпрд╣ рдореЛрдб рдирд┐рдпрдВрддреНрд░рдг рд░рдЬрд┐рд╕реНрдЯрд░ рдХреЛ рдкреНрд░рднрд╛рд╡рд┐рдд рдирд╣реАрдВ рдХрд░рддрд╛ рд╣реИред рдХрд╛рдЙрдВрдЯрд░ рдЕрднреА рднреА рдЗрд╕реНрддреЗрдорд╛рд▓ рдХрд┐рдпрд╛ рдЬрд╛ рд╕рдХрддрд╛ рд╣реИ, рд▓реЗрдХрд┐рди рд╕реАрдорд╛рдУрдВ рдХреЗ рд╕рд╛рдеред рдЗрд╕ рдСрдкрд░реЗрдЯрд┐рдВрдЧ рдореЛрдб рдореЗрдВ, рдбрд╛рдпрдирд╛рдорд┐рдХ рдЗрдирдкреБрдЯ (LD / EN) рдХрд╛ рдЙрдкрдпреЛрдЧ рдирд╣реАрдВ рдХрд┐рдпрд╛ рдЬрд╛ рд╕рдХрддрд╛ рд╣реИред


рдЪрд┐рддреНрд░ 21-37ред рд╕рд┐рдВрдХреНрд░реЛрдирд╕ рдореЛрдбред

21.3.3.6 рд╕реНрдерд┐рддрд┐ рдФрд░ рдирд┐рдпрдВрддреНрд░рдг рдШрдбрд╝реА


рдирд┐рдпрдВрддреНрд░рдг рдФрд░ рд╕реНрдерд┐рддрд┐ рд░рдЬрд┐рд╕реНрдЯрд░ рдХреЛ рдирд┐рдореНрдирд▓рд┐рдЦрд┐рдд рдСрдкрд░реЗрдЯрд┐рдВрдЧ рдореЛрдб рдореЗрдВ рд╕реЗ рдХрд┐рд╕реА рдПрдХ рдореЗрдВ рдШрдбрд╝реА рдЪрдпрди рдХреА рдЖрд╡рд╢реНрдпрдХрддрд╛ рд╣реЛрддреА рд╣реИ:

  • рдкрдврд╝рдиреЗ рдХреЗ рдмрд╛рдж рд╕рдорд╛рд╢реЛрдзрди рдХреЗ рд╕рд╛рде рдЪрд┐рдкрдЪрд┐рдкрд╛ рдореЛрдб рдореЗрдВ рдХрд┐рд╕реА рднреА рдмрд┐рдЯ рдХреЗ рд╕рд╛рде рд╕реНрдерд┐рддрд┐ рд░рдЬрд┐рд╕реНрдЯрд░,
  • рдХрд╛рдЙрдВрдЯрд░ рдореЛрдб рдореЗрдВ рдирд┐рдпрдВрддреНрд░рдг рд░рдЬрд┐рд╕реНрдЯрд░,
  • рддреБрд▓реНрдпрдХрд╛рд▓рд┐рдХ рдореЛрдбред

рд╕рдордп рдШрдбрд╝реА рдФрд░ рд░реАрд╕реЗрдЯ рдореЙрдбреНрдпреВрд▓ рдореЗрдВ рд╕реМрдВрдкрд╛ рдЧрдпрд╛ рд╣реИред 21.3.4 рджреЗрдЦреЗрдВред рдШрдбрд╝реА рдФрд░ рдирд┐рдпрдВрддреНрд░рдг рдореЙрдбреНрдпреВрд▓ рд░реАрд╕реЗрдЯ рдХрд░реЗрдВред

21.3.3.7 рд╕рд╣рд╛рдпрдХ рдирд┐рдпрдВрддреНрд░рдг рд░рдЬрд┐рд╕реНрдЯрд░


рдкрдврд╝рдиреЗ рдФрд░ рд▓рд┐рдЦрдиреЗ рдХреЗ рд▓рд┐рдП рд╕рд╣рд╛рдпрдХ рдирд┐рдпрдВрддреНрд░рдг рд░рдЬрд┐рд╕реНрдЯрд░ рдПрдХ рд╡рд┐рд╢реЗрд╖ рд░рдЬрд┐рд╕реНрдЯрд░ рд╣реИ рдЬреЛ рд╣рд╛рд░реНрдб-рд╕реЗрдЯ UDB рдЙрдкрдХрд░рдг рдХреЛ рдирд┐рдпрдВрддреНрд░рд┐рдд рдХрд░рддрд╛ рд╣реИред рдпрд╣ рд░рдЬрд┐рд╕реНрдЯрд░ рд╕реАрдкреАрдпреВ рдпрд╛ рдбреАрдПрдордП рдХреЛ рдЧрддрд┐рд╢реАрд▓ рд░реВрдк рд╕реЗ рд╡реНрдпрд╡рдзрд╛рди, рдПрдлрдЖрдИрдПрдлрдУ рдФрд░ рдХрд╛рдЙрдВрдЯрд░ рдСрдкрд░реЗрд╢рди рдХреЛ рдирд┐рдпрдВрддреНрд░рд┐рдд рдХрд░рдиреЗ рдХреА рдЕрдиреБрдорддрд┐ рджреЗрддрд╛ рд╣реИред рд░рдЬрд┐рд╕реНрдЯрд░реЛрдВ рдХреЗ рдмрд┐рдЯреНрд╕ рдФрд░ рдЙрдирдХреЗ рд╡рд┐рд╡рд░рдг рдиреАрдЪреЗ рджрд┐рдП рдЧрдП рд╣реИрдВ:

рд╕рд╣рд╛рдпрдХ рдирд┐рдпрдВрддреНрд░рдг рд░рдЬрд┐рд╕реНрдЯрд░
76543210
CNT
рд╕реНрдЯрд╛рд░реНрдЯ
INT рдПрдиFIFO1
LVL
FIFO0
LVL
FIFO1
CLR
FIFO0
CLR

FIFO0 рдФрд░ FIFO1 (FIFO0 рд╕рд╛рдл, FIFO1 рд╕реНрдкрд╖реНрдЯ)


FIFO0 CLR рдФрд░ FIFO1 CLR рдмрд┐рдЯреНрд╕ рдХрд╛ рдЙрдкрдпреЛрдЧ рд╕рдВрдмрдВрдзрд┐рдд FIFO рдХреА рд╕реНрдерд┐рддрд┐ рдХреЛ рд░реАрд╕реЗрдЯ рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рдХрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИред рдЬрдм рдЗрди рдмрд┐рдЯреНрд╕ рдкрд░ 1 рд▓рд┐рдЦрд╛ рдЬрд╛рддрд╛ рд╣реИ, рддреЛ рд╕рдВрдмрдВрдзрд┐рдд FIFO рдХреА рд╕реНрдерд┐рддрд┐ рд░реАрд╕реЗрдЯ рд╣реЛ рдЬрд╛рддреА рд╣реИред FIFO рдСрдкрд░реЗрд╢рди рдХреЛ рдЬрд╛рд░реА рд░рдЦрдиреЗ рдХреЗ рд▓рд┐рдП, рдпрд╣ рд▓рд┐рдЦрдирд╛ рдЖрд╡рд╢реНрдпрдХ рд╣реИред 0. рдпреЗ рдмрд┐рдЯреНрд╕ рдХреЙрдХреНрдб рд╣реИрдВред FIFO рдмрд┐рдирд╛ рдХрд┐рд╕реА рд╕реНрдЯреЗрдЯрд╕ рдХреЗ рд╕рд╛рдзрд╛рд░рдг рд╕рд┐рдВрдЧрд▓-рдмрд╛рдЗрдЯ рдмрдлрд╝рд░реНрд╕ рдХреЗ рд░реВрдк рдореЗрдВ рдХрд╛рдо рдХрд░рддреЗ рд╣реИрдВред

FIFO0 рдФрд░ FIFO1 рд╕реНрддрд░ (FIFO0 рд╕реНрддрд░, FIFO1 рд╕реНрддрд░)


FIFO0 LVL рдФрд░ FIFO1 LVL рдмрд┐рдЯреНрд╕ рдЙрд╕ рд╕реНрддрд░ рдХреЛ рдирд┐рд░реНрдзрд╛рд░рд┐рдд рдХрд░рддреЗ рд╣реИрдВ рдЬрд┐рд╕ рдкрд░ 4-рдмрд╛рдЗрдЯ FIFO рдмрд╕ рдХреА рд╕реНрдерд┐рддрд┐ рдХреЛ рдмрдврд╝рд╛рддреА рд╣реИ (рдЬрдм рдмрд╕ FIFO рдХреЛ рдкрдврд╝рддреА рдпрд╛ рд▓рд┐рдЦрддреА рд╣реИ)ред FIFO рдмрд╕ рд╕реНрдерд┐рддрд┐ рдорд╛рди рдХреЙрдиреНрдлрд╝рд┐рдЧрд░ рджрд┐рд╢рд╛ рдкрд░ рдирд┐рд░реНрднрд░ рдХрд░рддрд╛ рд╣реИ, рдЬреИрд╕рд╛ рдХрд┐ рдиреАрдЪреЗ рджреА рдЧрдИ рддрд╛рд▓рд┐рдХрд╛ рдореЗрдВ рджрд┐рдЦрд╛рдпрд╛ рдЧрдпрд╛ рд╣реИред

рддрд╛рд▓рд┐рдХрд╛ 21-21ред FIFO рд╕реНрддрд░ рдирд┐рдпрдВрддреНрд░рдг рдмрд┐рдЯреНрд╕ред
FIFOx
LVL
рдЗрдирдкреБрдЯ рдореЛрдб
(рдмрд╕ рдлреАрдлреЛ рдХреЛ рд▓рд┐рдЦрддрд╛ рд╣реИ)
рдЖрдЙрдЯрдкреБрдЯ рдореЛрдб
(рдмрд╕ рдлреАрдлреЛ рд╕реЗ рдкрдврд╝рддреА рд╣реИ)
0рднрд░рд╛ рдирд╣реАрдВ рд╣реИред
рдЖрдк рдХрдо рд╕реЗ рдХрдо 1 рдмрд╛рдЗрдЯ рд▓рд┐рдЦ рд╕рдХрддреЗ рд╣реИрдВред
рдЦрд╛рд▓реА рдирд╣реАрдВ рд╣реИред
рдкрдврд╝рдиреЗ рдХреЗ рд▓рд┐рдП рдХрдо рд╕реЗ рдХрдо 1 рдмрд╛рдЗрдЯ рдЙрдкрд▓рдмреНрдз рд╣реИред
1рдХрдо рд╕реЗ рдХрдо рдЖрдзреЗ рд╕реЗ рддрдмрд╛рд╣ред
рдЖрдк рдХрдо рд╕реЗ рдХрдо 2 рдмрд╛рдЗрдЯреНрд╕ рд▓рд┐рдЦ рд╕рдХрддреЗ рд╣реИрдВред
рдХрдо рд╕реЗ рдХрдо рдЖрдзрд╛ рднрд░рд╛ рд╣реБрдЖред
рдкрдврд╝рдиреЗ рдХреЗ рд▓рд┐рдП рдХрдо рд╕реЗ рдХрдо 2 рдмрд╛рдЗрдЯреНрд╕ рдЙрдкрд▓рдмреНрдз рд╣реИрдВред

рдЗрдВрдЯрд░рдкреНрдЯ рдЗрдиреЗрдмрд▓


рдЬрдм рд╕реНрдерд┐рддрд┐ рд░рдЬрд┐рд╕реНрдЯрд░ рдЬреЗрдирд░реЗрд╢рди рд▓реЙрдЬрд┐рдХ рд╕рдХреНрд░рд┐рдп рд╣реЛ рдЬрд╛рддрд╛ рд╣реИ, рддреЛ INT EN рдмрд┐рдЯ рд╕реЗ рдЧреБрдЬрд░рдиреЗ рдХреЗ рд▓рд┐рдП рдЙрддреНрдкрдиреНрди рдмрд╛рдзрд┐рдд рд╕рд┐рдЧреНрдирд▓ рдХреЛ рд╕рдХреНрд╖рдо рдХрд░рддрд╛ рд╣реИред

рдХрд╛рдЙрдВрдЯрд░ / рдЦрд╛рддрд╛ рд╢реБрд░реВ рдХрд░реЗрдВ? (рдкреНрд░рд╛рд░рдВрдн рдкреНрд░рд╛рд░рдВрдн)


CNT START рдмрд┐рдЯ рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд╛рдЙрдВрдЯрд░ рдХреЛ рд╢реБрд░реВ рдХрд░рдиреЗ рдФрд░ рд░реЛрдХрдиреЗ рдХреЗ рд▓рд┐рдП рдХрд┐рдпрд╛ рдЬрд╛ рд╕рдХрддрд╛ рд╣реИ (рдХреЗрд╡рд▓ рддрдм рдЙрдкрд▓рдмреНрдз рд╣реИ рдЬрдм SC_OUT_CTL [1: 0] рдмрд┐рдЯреНрд╕ рдХрд╛рдЙрдВрдЯрд░ рдЖрдЙрдЯрдкреБрдЯ рдореЛрдб рдХреЗ рд▓рд┐рдП рдХреЙрдиреНрдлрд╝рд┐рдЧрд░ рдХрд┐рдП рдЧрдП рд╣реЛрдВ)ред

21.3.3.8 рдкреНрд░рдмрдВрдзрди рдФрд░ рд╕реНрдерд┐рддрд┐ рд░рдЬрд┐рд╕реНрдЯрд░ рдХрд╛ рд╕рд╛рд░рд╛рдВрд╢


рдиреАрдЪреЗ рджреА рдЧрдИ рддрд╛рд▓рд┐рдХрд╛ рдирд┐рдпрдВрддреНрд░рдг рдФрд░ рд╕реНрдерд┐рддрд┐ рд░рдЬрд┐рд╕реНрдЯрд░ рдХреЗ рдХрд╛рд░реНрдпреЛрдВ рдХреЛ рд╕рд╛рд░рд╛рдВрд╢рд┐рдд рдХрд░рддреА рд╣реИред рдХреГрдкрдпрд╛ рдзреНрдпрд╛рди рджреЗрдВ рдХрд┐ рдореБрдЦреМрдЯрд╛ рдФрд░ рдирд┐рдпрдВрддреНрд░рдг рд░рдЬрд┐рд╕реНрдЯрд░ рдХрд╛рдЙрдВрдЯрд░ рдФрд░ рдЕрд╡рдзрд┐ рд░рдЬрд┐рд╕реНрдЯрд░ рдХреЗ рд╕рд╛рде рд╕рдВрдпреБрдХреНрдд рд╣реИрдВ, рдФрд░ рдЗрди рд░рдЬрд┐рд╕реНрдЯрд░реЛрдВ рдХрд╛ рдореВрд▓реНрдп рдСрдкрд░реЗрдЯрд┐рдВрдЧ рдореЛрдб рдкрд░ рдирд┐рд░реНрднрд░ рдирд╣реАрдВ рдХрд░рддрд╛ рд╣реИред

рддрд╛рд▓рд┐рдХрд╛ 21-22ред рдкреНрд░рдмрдВрдзрди рдФрд░ рд╕реНрдерд┐рддрд┐ рд░рдЬрд┐рд╕реНрдЯрд░ рдХреЗ рд╕рдВрдЪрд╛рд▓рди рдХрд╛ рдПрдХ рд╕рдВрдХреНрд╖рд┐рдкреНрдд рд╕рд╛рд░рд╛рдВрд╢
рд╢рд╛рд╕рдирдкреНрд░рдмрдВрдзрди / рдХрд╛рдЙрдВрдЯрд░
(рдирд┐рдпрдВрддреНрд░рдг / рдЧрд┐рдирддреА)
рд╕реНрдерд┐рддрд┐ / рд╕рд┐рдВрдХ
(рд╕реНрдерд┐рддрд┐ / SYNC)
рдорд╛рд╕реНрдХ рдУрд╡рд░рд▓реЗ / рдЕрд╡рдзрд┐
(рдорд╛рд╕реНрдХ / рдЕрд╡рдзрд┐)
рдирд┐рдпрдВрддреНрд░рдг (рдирд┐рдпрдВрддреНрд░рдг)рдирд┐рдпрдВрддреНрд░рдг рд╕реЗ рдмрд╛рд╣рд░рд╕реНрдерд┐рддрд┐ рдпрд╛ SYNCрдУрд╡рд░рд▓реЗ рд╕реНрдерд┐рддрд┐ рдорд╛рд╕реНрдХ
рдПрдХ рдХрд╛рдЙрдВрдЯрд░ (рдЧрдгрдирд╛)рдХрд╛рдЙрдВрдЯрд░ рдЖрдЙрдЯрдХрд╛рдЙрдВрдЯрд░ рдЕрд╡рдзрд┐ рдПрдХ (рдЧрдгрдирд╛ рдЕрд╡рдзрд┐)
рд╕реНрдерд┐рддрд┐ (рд╕реНрдерд┐рддрд┐)рдХрдВрдЯреНрд░реЛрд▓ рдЖрдЙрдЯ рдпрд╛ рдХрд╛рдЙрдВрдЯ рдЖрдЙрдЯрд╕реНрдерд┐рддрд┐ рдореЗрдВрдУрд╡рд░рд▓реЗ рд╕реНрдерд┐рддрд┐ рдорд╛рд╕реНрдХ
рд╕рд┐рдВрдХ (SYNC)рд╕рд┐рдВрдХ (SYNC)рдЙрдкрд▓рдмреНрдз рдирд╣реАрдВ ( рдмреА )

рдПрдХред - рдзреНрдпрд╛рди рджреЗрдВ рдХрд┐ рдХрд╛рдЙрдВрдЯрд░ рдореЛрдб рдореЗрдВ, рдорд╛рд╕реНрдХ рдУрд╡рд░рд▓реЗ рд░рдЬрд┐рд╕реНрдЯрд░ рдПрдХ рдЕрд╡рдзрд┐ рд░рдЬрд┐рд╕реНрдЯрд░ рдХреЗ рд░реВрдк рдореЗрдВ рдХрд╛рдо рдХрд░рддрд╛ рд╣реИ рдФрд░ рд░рдЬрд┐рд╕реНрдЯрд░ рдкрд░ рдорд╛рд╕реНрдХ рдХреЗ рд░реВрдк рдореЗрдВ рдХрд╛рдо рдирд╣реАрдВ рдХрд░ рд╕рдХрддрд╛ рд╣реИред рдирддреАрдЬрддрди, рдХрд╛рдЙрдВрдЯрд░ рдореЛрдб рд╕рдХреНрд░рд┐рдп рд╣реЛрдиреЗ рдкрд░ рдЗрдВрдЯрд░рдкреНрдЯ рдЖрдЙрдЯрдкреБрдЯ рдЙрдкрд▓рдмреНрдз рдирд╣реАрдВ рд╣реЛрддрд╛ рд╣реИред

рдЦред - рдзреНрдпрд╛рди рджреЗрдВ рдХрд┐ рд╕рд┐рдВрдХреНрд░рдирд╛рдЗрдЬрд╝реЗрд╢рди рдореЛрдб рдореЗрдВ рд╕реНрдерд┐рддрд┐ рд░рдЬрд┐рд╕реНрдЯрд░ рдЙрдкрд▓рдмреНрдз рдирд╣реАрдВ рд╣реИ, рдФрд░ рдЗрд╕рд▓рд┐рдП рдорд╛рд╕реНрдХ рдУрд╡рд░рд▓реЗ рд░рдЬрд┐рд╕реНрдЯрд░ рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░рдирд╛ рдЕрд╕рдВрднрд╡ рд╣реИред рд╣рд╛рд▓рд╛рдВрдХрд┐, рдЗрд╕рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд╛рдЙрдВрдЯрд░ рдореЛрдб рдХреЗ рд▓рд┐рдП рдПрдХ рдЕрд╡рдзрд┐ рд░рдЬрд┐рд╕реНрдЯрд░ рдХреЗ рд░реВрдк рдореЗрдВ рдХрд┐рдпрд╛ рдЬрд╛ рд╕рдХрддрд╛ рд╣реИред

рдЬрд╛рд░реА рд░рдЦрдиреЗ рдХреЗ рд▓рд┐рдП ...

Source: https://habr.com/ru/post/hi443380/


All Articles