рдЪрд░реНрдЪрд╛: OpenROAD рдкрд░рд┐рдпреЛрдЬрдирд╛ рдХрд╛ рдЗрд░рд╛рджрд╛ рдкреНрд░реЛрд╕реЗрд╕рд░ рдХреЗ рдбрд┐рдЬрд╛рдЗрди рдХреЛ рд╕реНрд╡рдЪрд╛рд▓рд┐рдд рдХрд░рдиреЗ рдХреЗ рдХрд╛рд░реНрдп рдХреЛ рд╣рд▓ рдХрд░рдирд╛ рд╣реИ


рддрд╕реНрд╡реАрд░реЗрдВ - Pexels - CC BY

рдкреАрдбрдмреНрд▓реВрд╕реА рдХреЗ рдЕрдиреБрд╕рд╛рд░, рдЕрд░реНрдзрдЪрд╛рд▓рдХ рдкреНрд░реМрджреНрдпреЛрдЧрд┐рдХреА рдмрд╛рдЬрд╛рд░ рдмрдврд╝ рд░рд╣рд╛ рд╣реИ - рдкрд┐рдЫрд▓реЗ рд╕рд╛рд▓ рдпрд╣ 481 рдмрд┐рд▓рд┐рдпрди рдбреЙрд▓рд░ рддрдХ рдкрд╣реБрдВрдЪ рдЧрдпрд╛ рдерд╛ред рд▓реЗрдХрд┐рди рдЗрд╕рдХреА рд╡рд┐рдХрд╛рд╕ рджрд░ рдореЗрдВ рд╣рд╛рд▓ рд╣реА рдореЗрдВ рдЧрд┐рд░рд╛рд╡рдЯ рдЖрдИ рд╣реИ ред рдордВрджреА рдХреЗ рдХрд╛рд░рдгреЛрдВ рдореЗрдВ рдбрд┐рд╡рд╛рдЗрд╕ рдбрд┐рдЬрд╛рдЗрди рдкреНрд░рдХреНрд░рд┐рдпрд╛рдУрдВ рдХреА рдЬрдЯрд┐рд▓рддрд╛ рдФрд░ рд╕реНрд╡рдЪрд╛рд▓рди рдХреА рдХрдореА рд╢рд╛рдорд┐рд▓ рд╣реИрдВред

рдХреБрдЫ рд╕рд╛рд▓ рдкрд╣рд▓реЗ, рдЗрдВрдЯреЗрд▓ рдЗрдВрдЬреАрдирд┐рдпрд░реЛрдВ рдиреЗ рд▓рд┐рдЦрд╛ рдерд╛ рдХрд┐ рдПрдХ рдЙрдЪреНрдЪ-рдкреНрд░рджрд░реНрд╢рди рдорд╛рдЗрдХреНрд░реЛрдкреНрд░реЛрд╕реЗрд╕рд░ рдмрдирд╛рддреЗ рд╕рдордп, рдЖрдкрдХреЛ 100-150 рдЕрд▓рдЧ-рдЕрд▓рдЧ рд╕реЙрдлрд╝реНрдЯрд╡реЗрдпрд░ рдЯреВрд▓ ( EDA ) рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░рдирд╛ рд╣реЛрдЧрд╛ред рд╡рд┐рд╖рдо рдЙрдкрдХрд░рдгреЛрдВ рдХреЗ рдорд╛рдорд▓реЗ рдореЗрдВ рд╕реНрдерд┐рддрд┐ рдмрдврд╝ рд╕рдХрддреА рд╣реИ, рдЬрд┐рдирдореЗрдВ рд╕реЗ рд╡рд╛рд╕реНрддреБрдХрд▓рд╛ рдореЗрдВ рдХрдИ рдЕрд▓рдЧ-рдЕрд▓рдЧ рдкреНрд░рдХрд╛рд░ рдХреЗ рдЪрд┐рдкреНрд╕ рд╢рд╛рдорд┐рд▓ рд╣реИрдВ - рдПрдПрд╕рдЖрдИрд╕реА, рдПрдлрдкреАрдЬреАрдП, рд╕реАрдкреАрдпреВ рдпрд╛ рдЬреАрдкреАрдпреВред рдирддреАрдЬрддрди, рдбрд┐рдЬрд╛рдЗрди рддреНрд░реБрдЯрд┐рдпрд╛рдВ рд╣реЛрддреА рд╣реИрдВ рдЬреЛ рдЙрддреНрдкрд╛рджреЛрдВ рдХреА рд░рд┐рд╣рд╛рдИ рдореЗрдВ рджреЗрд░реА рдХрд░рддреА рд╣реИрдВред

рдмрдбрд╝реА рд╕рдВрдЦреНрдпрд╛ рдореЗрдВ рд╕рд╣рд╛рдпрдХ рдЙрдкрдХрд░рдгреЛрдВ рдХреЗ рдмрд╛рд╡рдЬреВрдж, рдЗрдВрдЬреАрдирд┐рдпрд░ рдЕрднреА рднреА рдореИрдиреНрдпреБрдЕрд▓ рд░реВрдк рд╕реЗ рдХрд╛рдо рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рдордЬрдмреВрд░ рд╣реИрдВред рдПрдбрд╡рд╛рдВрд╕реНрдб рд▓реЙрдЬрд┐рдХ рд╕рд┐рдВрдереЗрд╕рд┐рд╕ рдирд╛рдордХ рдкреБрд╕реНрддрдХ рдХреЗ рд▓реЗрдЦрдХреЛрдВ рдХрд╛ рдХрд╣рдирд╛ рд╣реИ рдХрд┐ рдХрднреА-рдХрднреА рдбрд┐рдЬрд╛рдЗрдирд░реЛрдВ рдХреЛ рджреЛ рдорд┐рд▓рд┐рдпрди рд▓рд╛рдЗрдиреЛрдВ рд╕реЗ рд╕реНрдХрд┐рд▓реНрд╕ рдпрд╛ рдкрд╛рдЗрдерди рдореЗрдВ рд╕реНрдХреНрд░рд┐рдкреНрдЯ рд▓рд┐рдЦрдиреА рд╣реЛрддреА рд╣реИ рддрд╛рдХрд┐ рдХреЛрд╢рд┐рдХрд╛рдУрдВ рдХреЗ рд╕рд╛рде рд▓рд╛рдЗрдмреНрд░реЗрд░реА рдмрдирд╛рдИ рдЬрд╛ рд╕рдХреЗ ред

рдИрдбреАрдП рд╕рд┐рд╕реНрдЯрдо рджреНрд╡рд╛рд░рд╛ рдЙрддреНрдкрдиреНрди рд░рд┐рдкреЛрд░реНрдЯреЛрдВ рдХреЛ рдкрд╛рд░реНрд╕ рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рднреА рд▓рд┐рдЦрд╛ рдЬрд╛рддрд╛ рд╣реИред 22-рдПрдирдПрдо рдкреНрд░рдХреНрд░рд┐рдпрд╛ рдкреНрд░реМрджреНрдпреЛрдЧрд┐рдХреА рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░рдХреЗ рдПрдХ рдЪрд┐рдк рд╡рд┐рдХрд╕рд┐рдд рдХрд░рддреЗ рд╕рдордп, рдпреЗ рд░рд┐рдкреЛрд░реНрдЯ 30 рдЯреЗрд░рд╛рдмрд╛рдЗрдЯреНрд╕ рддрдХ рд▓реЗ рдЬрд╛ рд╕рдХрддреА рд╣реИрдВред

рдЙрдиреНрд╣реЛрдВрдиреЗ рд╕реНрдерд┐рддрд┐ рдХреЛ рдареАрдХ рдХрд░рдиреЗ рдФрд░ DARPA рдореЗрдВ рдбрд┐рдЬрд╝рд╛рдЗрди рдкреНрд░рдХреНрд░рд┐рдпрд╛рдУрдВ рдХреЛ рдорд╛рдирдХреАрдХреГрдд рдХрд░рдиреЗ рдХрд╛ рдкреНрд░рдпрд╛рд╕ рдХрд░рдиреЗ рдХрд╛ рдирд┐рд░реНрдгрдп рд▓рд┐рдпрд╛ред рдПрдЬреЗрдВрд╕реА рдХрд╛ рдпрд╣ рднреА рдорд╛рдирдирд╛ тАЛтАЛрд╣реИ рдХрд┐ рдЪрд┐рдкреНрд╕ рдмрдирд╛рдиреЗ рдХреЗ рдореМрдЬреВрджрд╛ рддрд░реАрдХреЗ рдкреБрд░рд╛рдиреЗ рд╣реИрдВред рд╕рдВрдЧрдарди рдиреЗ рдкрд╛рдВрдЪ рд╕рд╛рд▓ рдХреЗ рдУрдкрдирд░рд╛рдб рдХрд╛рд░реНрдпрдХреНрд░рдо рдХрд╛ рд╢реБрднрд╛рд░рдВрдн рдХрд┐рдпрд╛ , рдЬрд┐рд╕рдХрд╛ рдЙрджреНрджреЗрд╢реНрдп рдЪрд┐рдк рдбрд┐рдЬрд╛рдЗрди рдкреНрд░рдХреНрд░рд┐рдпрд╛рдУрдВ рдХреЛ рд╕реНрд╡рдЪрд╛рд▓рд┐рдд рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рдирдП рдЙрдкрдХрд░рдг рд╡рд┐рдХрд╕рд┐рдд рдХрд░рдирд╛ рд╣реИред

рдХрд┐рд╕ рддрд░рд╣ рдХрд╛ рдХрд╛рд░реНрдпрдХреНрд░рдо


рдХрд╛рд░реНрдпрдХреНрд░рдо рдореЗрдВ рдХрдИ рдкрд░рд┐рдпреЛрдЬрдирд╛рдПрдВ рд╢рд╛рдорд┐рд▓ рд╣реИрдВ рдЬреЛ рдЪрд┐рдк рдирд┐рд░реНрдорд╛рдг рдХреЗ рд╡реНрдпрдХреНрддрд┐рдЧрдд рдЪрд░рдгреЛрдВ рдХреЛ рд╕реНрд╡рдЪрд╛рд▓рд┐рдд рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рдорд╢реАрди рд▓рд░реНрдирд┐рдВрдЧ рдФрд░ рдХреНрд▓рд╛рдЙрдб рддрдХрдиреАрдХ рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░рддреА рд╣реИрдВред рдкрд╣рд▓ рдХреЗ рд╣рд┐рд╕реНрд╕реЗ рдХреЗ рд░реВрдк рдореЗрдВ , рджрд╕ рд╕реЗ рдЕрдзрд┐рдХ рдЙрдкрдХрд░рдг рд╡рд┐рдХрд╕рд┐рдд рдХрд┐рдП рдЬрд╛ рд░рд╣реЗ рд╣реИрдВ (рдпреЛрдЬрдирд╛ 1)ред рдЕрдЧрд▓рд╛, рд╣рдо рдЙрдирдореЗрдВ рд╕реЗ рдХреБрдЫ рдХреЗ рдмрд╛рд░реЗ рдореЗрдВ рдЕрдзрд┐рдХ рдмрд╛рдд рдХрд░реЗрдВрдЧреЗ: рдлреНрд▓реЛ рд░рдирд░, рд░реЗрдкреНрд▓реЗрд╕, рдЯреНрд░рд╛рдЗрдЯрдирд╕реАрдЯреАрдПрд╕, рдУрдкрдирд╕реНрдЯрд╛ред

рдлреНрд▓реЛ рд░рдирд░ RTL рдФрд░ GDSII рдкреБрд╕реНрддрдХрд╛рд▓рдпреЛрдВ рдХреЗ рдкреНрд░рдмрдВрдзрди рдХреЗ рд▓рд┐рдП рдПрдХ рдЙрдкрдХрд░рдг рд╣реИред рдЙрддреНрддрд░рд╛рд░реНрджреНрдз рдбреЗрдЯрд╛рдмреЗрд╕ рдлрд╛рдЗрд▓реЗрдВ рд╣реИрдВ рдЬреЛ рдПрдХреАрдХреГрдд рд╕рд░реНрдХрд┐рдЯ рдФрд░ рдЙрдирдХреЗ рдЯреЛрдкреЛрд▓реЙрдЬреА рдХреЗ рдмрд╛рд░реЗ рдореЗрдВ рдЬрд╛рдирдХрд╛рд░реА рдХреЗ рдЖрджрд╛рди-рдкреНрд░рджрд╛рди рдХреЗ рд▓рд┐рдП рдЙрджреНрдпреЛрдЧ рдорд╛рдирдХ рд╣реИрдВред рд╕рдорд╛рдзрд╛рди рдбреЙрдХрдЯрд░ рдХрдВрдЯреЗрдирд░ рддрдХрдиреАрдХ рдкрд░ рдЖрдзрд╛рд░рд┐рдд рд╣реИред рдЖрдк рдлреНрд▓реЛ рд░рдирд░ рдХреЛ рдХреНрд▓рд╛рдЙрдб рдФрд░ рдСрди-рдкреНрд░рд┐рдорд╛рдЗрд╕реЗрд╕ рджреЛрдиреЛрдВ рдореЗрдВ рдЪрд▓рд╛ рд╕рдХрддреЗ рд╣реИрдВред рдЗрдВрд╕реНрдЯреЙрд▓реЗрд╢рди рдЧрд╛рдЗрдб GitHub рдкрд░ рдЖрдзрд┐рдХрд╛рд░рд┐рдХ рд░рд┐рдкреЙрдЬрд┐рдЯрд░реА рдореЗрдВ рд╣реИ ред

RePlAce рдПрдХ рдХреНрд▓рд╛рдЙрдб-рдЖрдзрд╛рд░рд┐рдд рдорд╢реАрди рд▓рд░реНрдирд┐рдВрдЧ рд╕реЙрд▓реНрдпреВрд╢рди рд╣реИ рдЬреЛ рдЪрд┐рдк рдкрд░ рдШрдЯрдХреЛрдВ рдХреЛ рд░рдЦрдиреЗ рдФрд░ рдЯреНрд░реЗрд╕рд┐рдВрдЧ рдХреЛ рд╕реНрд╡рдЪрд╛рд▓рд┐рдд рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рдЬрд┐рдореНрдореЗрджрд╛рд░ рд╣реИред рдХреБрдЫ рд░рд┐рдкреЛрд░реНрдЯреЛрдВ рдХреЗ рдЕрдиреБрд╕рд╛рд░, рдмреБрджреНрдзрд┐рдорд╛рди рдПрд▓реНрдЧреЛрд░рд┐рджрдо рд╢рд╛рд╕реНрддреНрд░реАрдп рдкреНрд░рдгрд╛рд▓рд┐рдпреЛрдВ рдХреА рддреБрд▓рдирд╛ рдореЗрдВ рдЯреВрд▓ рдХреА рджрдХреНрд╖рддрд╛ рдореЗрдВ 2-10% рдХреА рд╡реГрджреНрдзрд┐ рдХрд░рддреЗ рд╣реИрдВред рдЗрд╕рдХреЗ рдЕрд▓рд╛рд╡рд╛, рдХреНрд▓рд╛рдЙрдб рдореЗрдВ рдХрд╛рд░реНрдпрд╛рдиреНрд╡рдпрди рд╕реНрдХреЗрд▓рд┐рдВрдЧ рдХреЛ рд╕рд░рд▓ рдХрд░рддрд╛ рд╣реИред рдЗрдВрд╕реНрдЯреЙрд▓реЗрд╢рди рдФрд░ рдХреЙрдиреНрдлрд╝рд┐рдЧрд░реЗрд╢рди рдЧрд╛рдЗрдб рднреА рд░рд┐рдкреЙрдЬрд┐рдЯрд░реА рдореЗрдВ рдирд┐рд╣рд┐рдд рд╣реИ ред

рдЯреНрд░рд╛рдЗрдЯрдирд╕реАрдЯреАрдПрд╕ рдЪрд┐рдк рдХреЛ рдЖрдкреВрд░реНрддрд┐ рдХреА рдЧрдИ рдШрдбрд╝реА рдХреА рджрд╛рд▓реЛрдВ рдХреЗ рдЕрдиреБрдХреВрд▓рди рдХреЗ рд▓рд┐рдП рдПрдХ рдЙрдкрдпреЛрдЧрд┐рддрд╛ рд╣реИред рдбрд┐рд╡рд╛рдЗрд╕ рдХреЗ рд╕рднреА рд╣рд┐рд╕реНрд╕реЛрдВ рдХреЛ рд╕рдорд╛рди рд╡рд┐рд▓рдВрдмрддрд╛ рдХреЗ рд╕рд╛рде рдорд╛рд░реНрдЧ рдШрдбрд╝рд┐рдпреЛрдВ рдХреЛ рдорджрдж рдХрд░рддрд╛ рд╣реИред рдСрдкрд░реЗрд╢рди рдХрд╛ рд╕рд┐рджреНрдзрд╛рдВрдд рдПрдЪ-рдкреЗрдбрд╝реЛрдВ рдкрд░ рдЖрдзрд╛рд░рд┐рдд рд╣реИред рдпрд╣ рджреГрд╖реНрдЯрд┐рдХреЛрдг рдкрд╛рд░рдВрдкрд░рд┐рдХ рддрд░реАрдХреЛрдВ рдХреА рддреБрд▓рдирд╛ рдореЗрдВ рд╕рд┐рдЧреНрдирд▓ рд╡рд┐рддрд░рдг рдХреА рджрдХреНрд╖рддрд╛ рдХреЛ 30% рддрдХ рдмрдврд╝рд╛ рджреЗрддрд╛ рд╣реИ ред рдбреЗрд╡рд▓рдкрд░реНрд╕ рдХрд╛ рдХрд╣рдирд╛ рд╣реИ рдХрд┐ рднрд╡рд┐рд╖реНрдп рдореЗрдВ рдпрд╣ рдЖрдВрдХрдбрд╝рд╛ рдмрдврд╝рдХрд░ 56% рд╣реЛ рд╕рдХрддрд╛ рд╣реИред GitHub рдкрд░ рдЯреНрд░рд╛рдЗрдЯрдирд╕реАрдЯреАрдПрд╕ рд╕реНрд░реЛрдд рдХреЛрдб рдФрд░ рд╕реНрдХреНрд░рд┐рдкреНрдЯ рдЙрдкрд▓рдмреНрдз рд╣реИрдВред

OpenSTA рдПрдХ рд╕реНрдерд┐рд░ рд╕рдордп рд╡рд┐рд╢реНрд▓реЗрд╖рдг рдЗрдВрдЬрди рд╣реИред рдпрд╣ рдбреЗрд╡рд▓рдкрд░ рдХреЛ рдЕрдкрдиреА рд╡рд╛рд╕реНрддрд╡рд┐рдХ рдЕрд╕реЗрдВрдмрд▓реА рд╕реЗ рдкрд╣рд▓реЗ рд╣реА рдЪрд┐рдк рдХреЗ рдкреНрд░рджрд░реНрд╢рди рдХреА рдЬрд╛рдВрдЪ рдХрд░рдиреЗ рдХрд╛ рдЕрд╡рд╕рд░ рджреЗрддрд╛ рд╣реИред OpenSTA рдореЗрдВ рдХреЛрдб рдирдореВрдирд╛ рдЗрд╕ рддрд░рд╣ рджрд┐рдЦрддрд╛ рд╣реИред

@@ -6,7 +6,7 @@ read_liberty -corner ff example1_fast.lib read_verilog example1.v link_design top set_timing_derate -early 0.9 set_timing_derate -early 1.1 set_timing_derate -late 1.1 create_clock -name clk -period 10 {clk1 clk2 clk3} set_input_delay -clock clk 0 {in1 in2} # report all corners 

рдЙрдкрдпреЛрдЧрд┐рддрд╛ рд╡реЗрд░рд┐рд▓реЙрдЧ рдХреЛрдб, рд▓рд┐рдмрд░реНрдЯреА рдкреНрд░рд╛рд░реВрдк рдореЗрдВ рдкреБрд╕реНрддрдХрд╛рд▓рдпреЛрдВ, рдПрд╕рдбреАрд╕реА рдлрд╛рдЗрд▓реЛрдВ, рдЖрджрд┐ рдХреЗ рдиреЗрдЯрд▓рд┐рд╕реНрдЯ-рд╡рд┐рд╡рд░рдг рдХрд╛ рд╕рдорд░реНрдерди рдХрд░рддреА рд╣реИред

рдлрд╛рдпрджреЗ рдФрд░ рдиреБрдХрд╕рд╛рди


IBM рдФрд░ IEEE рдХреЗ рд╡рд┐рд╢реЗрд╖рдЬреНрдЮ рдзреНрдпрд╛рди рджреЗрддреЗ рд╣реИрдВ рдХрд┐ рдЪрд┐рдк рдирд┐рд░реНрдорд╛рдг рдореЗрдВ рдХреНрд▓рд╛рдЙрдб рдХрдВрдкреНрдпреВрдЯрд┐рдВрдЧ рдФрд░ рдорд╢реАрди рд▓рд░реНрдирд┐рдВрдЧ рдХрд╛ рд▓рдВрдмреЗ рд╕рдордп рд╕реЗ рдЙрдкрдпреЛрдЧ рдХрд┐рдпрд╛ рдЬрд╛рддрд╛ рд╣реИред рдЙрдирдХреА рд░рд╛рдп рдореЗрдВ, DARPA рдкрд░рд┐рдпреЛрдЬрдирд╛ рдЗрд╕ рд╡рд┐рдЪрд╛рд░ рдХреЗ рдХрд╛рд░реНрдпрд╛рдиреНрд╡рдпрди рдХрд╛ рдПрдХ рд╕рдлрд▓ рдЙрджрд╛рд╣рд░рдг рд╣реЛ рд╕рдХрддрд╛ рд╣реИ рдФрд░ рдЙрджреНрдпреЛрдЧ рдореЗрдВ рдкрд░рд┐рд╡рд░реНрддрди рдХреА рд╢реБрд░реБрдЖрдд рдХрд░реЗрдЧрд╛ ред

рдпрд╣ рднреА рдЙрдореНрдореАрдж рд╣реИ рдХрд┐ OpenROAD рдХреА рдЦреБрд▓реА рдкреНрд░рдХреГрддрд┐ рдЙрдкрдХрд░рдг рдХреЗ рдЖрд╕рдкрд╛рд╕ рдПрдХ рд╢рдХреНрддрд┐рд╢рд╛рд▓реА рд╕рдореБрджрд╛рдп рдХреЗ рдЧрдарди рдХреА рдЕрдиреБрдорддрд┐ рджреЗрдЧреА рдФрд░ рдирдП рд╕реНрдЯрд╛рд░реНрдЯрдЕрдк рдХреЛ рдЖрдХрд░реНрд╖рд┐рдд рдХрд░реЗрдЧреАред


рддрд╕реНрд╡реАрд░реЗрдВ - Pexels - CC BY

рдкрд╣рд▓реЗ рд╕реЗ рд╣реА рдкреНрд░рддрд┐рднрд╛рдЧреА рд╣реИрдВ - рдорд┐рд╢рд┐рдЧрди рд╡рд┐рд╢реНрд╡рд╡рд┐рджреНрдпрд╛рд▓рдп рдХреЗ рдЖрдзрд╛рд░ рдкрд░ рдЪрд┐рдкреНрд╕ рдХреЗ рд╡рд┐рдХрд╛рд╕ рдореЗрдВ рд╢рд╛рдорд┐рд▓ рдкреНрд░рдпреЛрдЧрд╢рд╛рд▓рд╛ рдУрдкрдирд░реЙрдб рдУрдкрди рдЯреВрд▓реНрд╕ рдХрд╛ рдкрд░реАрдХреНрд╖рдг рдХрд░рдиреЗ рд╡рд╛рд▓реА рдкрд╣рд▓реА рд╣реЛрдЧреА ред рд▓реЗрдХрд┐рди рдпрд╣ рдЕрднреА рднреА рдЕрдЬреНрдЮрд╛рдд рд╣реИ рдХрд┐ рдирдП рд╕рдорд╛рдзрд╛рди рдЕрдВрддрд┐рдо рдЙрддреНрдкрд╛рджреЛрдВ рдХреА рд▓рд╛рдЧрдд рдкрд░ рдзреНрдпрд╛рди рджреЗрдиреЗ рдпреЛрдЧреНрдп рдкреНрд░рднрд╛рд╡ рдбрд╛рд▓ рдкрд╛рдПрдВрдЧреЗ рдпрд╛ рдирд╣реАрдВред

рд╕рд╛рдорд╛рдиреНрдп рддреМрд░ рдкрд░, DARPA рдХреЗ рдиреЗрддреГрддреНрд╡ рдореЗрдВ рд╡рд┐рдХрд╕рд┐рдд рдЙрдкрдХрд░рдгреЛрдВ рд╕реЗ рдкреНрд░реЛрд╕реЗрд╕рд░ рдЙрджреНрдпреЛрдЧ рдкрд░ рд╕рдХрд╛рд░рд╛рддреНрдордХ рдкреНрд░рднрд╛рд╡ рдкрдбрд╝рдиреЗ рдХреА рдЙрдореНрдореАрдж рд╣реИ, рдФрд░ рдЗрд╕ рдХреНрд╖реЗрддреНрд░ рдореЗрдВ рдЕрдзрд┐рдХ рд╕реЗ рдЕрдзрд┐рдХ рдирдИ рдкрд░рд┐рдпреЛрдЬрдирд╛рдПрдВ рджрд┐рдЦрд╛рдИ рджреЗрдиреЗ рд▓рдЧреЗрдВрдЧреАред рдПрдХ рдЙрджрд╛рд╣рд░рдг gEDA рдЙрдкрдХрд░рдг рд╣реЛрдЧрд╛ - рдпрд╣ рдЖрдкрдХреЛ рдЕрд╕реАрдорд┐рдд рд╕рдВрдЦреНрдпрд╛ рдореЗрдВ рдШрдЯрдХреЛрдВ рдХреЗ рд╕рд╛рде рдЪрд┐рдкреНрд╕ рдбрд┐рдЬрд╛рдЗрди рдХрд░рдиреЗ рдХреА рдЕрдиреБрдорддрд┐ рджреЗрддрд╛ рд╣реИред gEDA рдореЗрдВ рд╕рдВрдкрд╛рджрди рдФрд░ рдореЙрдбрд▓рд┐рдВрдЧ рдЪрд┐рдкреНрд╕ рдФрд░ рдЕрдиреБрд░реЗрдЦрдг рдмреЛрд░реНрдбреЛрдВ рдХреЗ рд▓рд┐рдП рдЙрдкрдпреЛрдЧрд┐рддрд╛рдУрдВ рд╢рд╛рдорд┐рд▓ рд╣реИрдВред рд╕рдорд╛рдзрд╛рди UNIX рдкреНрд▓реЗрдЯрдлрд╛рд░реНрдореЛрдВ рдХреЗ рд▓рд┐рдП рд╡рд┐рдХрд╕рд┐рдд рдХрд┐рдпрд╛ рдЧрдпрд╛ рдерд╛, рд▓реЗрдХрд┐рди рдЗрд╕рдХреЗ рдХрдИ рдШрдЯрдХ рд╡рд┐рдВрдбреЛрдЬ рдХреЗ рддрд╣рдд рднреА рдХрд╛рдо рдХрд░рддреЗ рд╣реИрдВред рдЙрдирдХреЗ рд╕рд╛рде рдХрд╛рдо рдХрд░рдиреЗ рдкрд░ рдорд╛рд░реНрдЧрджрд░реНрд╢рди рдкрд░рд┐рдпреЛрдЬрдирд╛ рдХреА рд╡реЗрдмрд╕рд╛рдЗрдЯ рдкрд░ рдкреНрд░рд▓реЗрдЦрди рдореЗрдВ рдкрд╛рдпрд╛ рдЬрд╛ рд╕рдХрддрд╛ рд╣реИред

рд╕реНрд╡рддрдВрддреНрд░ рд░реВрдк рд╕реЗ рд╡рд┐рддрд░рд┐рдд рдЙрдкрдХрд░рдг рд╕реНрд╡рддрдВрддреНрд░ рд╕рдВрдЧрдарди рдФрд░ рд╕реНрдЯрд╛рд░реНрдЯрдЕрдк рдХреЛ рдЕрдзрд┐рдХ рдЕрд╡рд╕рд░ рдкреНрд░рджрд╛рди рдХрд░рддреЗ рд╣реИрдВред рдпрд╣ рд╕рдВрднрд╛рд╡рдирд╛ рд╣реИ рдХрд┐ рд╕рдордп рдХреЗ рд╕рд╛рде, рдирдП OpenROAD рдИрдбреАрдП рдЙрдкрдХрд░рдг рд╡рд┐рдХрд╕рд┐рдд рдХрд░рдиреЗ рдФрд░ рдЪрд┐рдкреНрд╕ рдмрдирд╛рдиреЗ рдХреЗ рд▓рд┐рдП рдПрдХ рдЙрджреНрдпреЛрдЧ рдорд╛рдирдХ рдмрди рд╕рдХрддрд╛ рд╣реИред



рд╣рдо рдЕрдкрдиреЗ рдХреЙрд░реНрдкреЛрд░реЗрдЯ рдмреНрд▓реЙрдЧ рдореЗрдВ рдХреНрдпрд╛ рд▓рд┐рдЦрддреЗ рд╣реИрдВ:

Source: https://habr.com/ru/post/hi453200/


All Articles