
рд╣рд░ рд╕рд╛рд▓ 31 рджрд┐рд╕рдВрдмрд░ рдХреЛ, рдореИрдВ рд╕рд╛рдВрддрд╛ рдХреНрд▓реЙрдЬрд╝ рдХреА рдкреЛрд╢рд╛рдХ рдореЗрдВ, рдФрд░ рдореЗрд░реА рдкрддреНрдиреА, рд╕реНрдиреЛ рдореЗрдбреЗрди рдХреА рднреВрдорд┐рдХрд╛ рдореЗрдВ, рд╢рд╣рд░ рдореЗрдВ рдЕрдкрдиреЗ рджреЛрд╕реНрддреЛрдВ рдХреЗ рд╕рд╛рде рдмрдзрд╛рдИ рдХреЗ рд▓рд┐рдП рдбреНрд░рд╛рдЗрд╡ рдХрд░рддреЗ рд╣реИрдВред рдЪреВрдВрдХрд┐ рдирдпрд╛ рд╕рд╛рд▓ рдЬрд▓реНрдж рд╣реА рдЖ рд░рд╣рд╛ рд╣реИ, рдореИрдВрдиреЗ рдпрд╣ рдЬрд╛рдВрдЪрдиреЗ рдХрд╛ рдлреИрд╕рд▓рд╛ рдХрд┐рдпрд╛ рдХрд┐ рдХреНрдпрд╛ рд╕рдм рдХреБрдЫ рдореЗрд░реЗ рд▓рд┐рдП рддреИрдпрд╛рд░ рд╣реИ рдФрд░ рддрд╣рдЦрд╛рдиреЗ рд╕реЗ рдЕрдкрдиреЗ рд▓рдВрдмреЗ рд╕рдордп рд╕реЗ рдЦрд░рд╛рдм рдХрд░реНрдордЪрд╛рд░рд┐рдпреЛрдВ рдХреЛ рдирд┐рдХрд╛рд▓ рд▓рд┐рдпрд╛ред рдореЗрд░реЗ рдЖрд╢реНрдЪрд░реНрдп рдХреЗ рд▓рд┐рдП, рдПрдХ рд╕рд╛рд▓ рдХреЗ рд▓рд┐рдП рддрд╣рдЦрд╛рдиреЗ рдореЗрдВ рдЭреВрда рдмреЛрд▓рдиреЗ рдХреЗ рдмрд╛рдж, рдЙрдиреНрд╣реЛрдВрдиреЗ рдЕрдм рднреА рдареАрдХ рд╕реЗ рдХрд╛рдо рдХрд┐рдпрд╛! рдЙрд╕рдХреА рдмреИрдЯрд░реА рдЕрднреА рднреА рдХрд┐рд╕реА рддрд░рд╣ рдЪрд╛рд░реНрдЬ рд╣реИ! рдХрд░реНрдордЪрд╛рд░реА рдмрд╣реБрдд рдЙрдЬреНрдЬреНрд╡рд▓ рдирд╣реАрдВ рд╣реИ, рд▓реЗрдХрд┐рди рдпрд╣ рдЪрдордХрддрд╛ рд╣реИред рдмреЗрд╢рдХ, рдореБрдЭреЗ рд░рд┐рдЪрд╛рд░реНрдЬ рдХрд░рдирд╛ рд╣реЛрдЧрд╛, рдФрд░ рдореИрдВ рдПрдХ рдПрд▓рдИрдбреА рдХрд╛рдо рдХрд░рдирд╛ рдмрдВрдж рдХрд░ рд░рд╣рд╛ рд╣реВрдВ, рд▓реЗрдХрд┐рди рдпрд╣ рдирд┐рд╢реНрдЪрд┐рдд рд╣реИ - рд╣рдо рдЗрд╕реЗ рдареАрдХ рдХрд░ рджреЗрдВрдЧреЗред
рдореИрдВрдиреЗ 2012 рдореЗрдВ рдЗрд╕ рд╕реНрдЯрд╛рдл рдХреЛ рд╡рд╛рдкрд╕ рдХрд┐рдпрд╛, рдФрд░ рддрдм рд╕реЗ рдЗрд╕рдиреЗ рдореБрдЭреЗ рдИрдорд╛рдирджрд╛рд░реА рд╕реЗ рд╕реЗрд╡рд╛ рджреА рд╣реИред рдмрд╛рдд рдХрд╛рдлреА рд╕рд░рд▓ рд╣реИ, рд▓реЗрдХрд┐рди рдмрд╣реБрдд рдкреНрд░рднрд╛рд╡реА рд╣реИ, рд╡рд┐рд╢реЗрд╖ рд░реВрдк рд╕реЗ рдмрдЪреНрдЪреЗ рдЗрд╕ рдкрд░ рдЦреБрд╢реА рдордирд╛рддреЗ рд╣реИрдВред рд╡рд╣ рд▓рдЧрднрдЧ рдПрдХ рдЬреЗрдбреА рддрд▓рд╡рд╛рд░ рдХреА рддрд░рд╣ рд╣реИ - рдХреЗрд╡рд▓ рдПрдХ рдХрд░реНрдордЪрд╛рд░реА, рдФрд░ рдЕрд╕рд▓реА рд╕рд╛рдВрддрд╛ рдХреНрд▓реЙрд╕ рдХреЗ рд▓рд┐рдП - рдпрд╣ рдПрдХ рдЖрд╡рд╢реНрдпрдХ рдЪреАрдЬ рд╣реИред рдореИрдВрдиреЗ рдЙрд╕рдХреЗ рдмрд╛рд░реЗ рдореЗрдВ рдпрд╣рд╛рдВ рд╣реИрдмреЗ рдкрд░ рд▓рд┐рдЦрдиреЗ рдХрд╛ рдлреИрд╕рд▓рд╛ рдХрд┐рдпрд╛ - рдЕрдЪрд╛рдирдХ рдХреЛрдИ рд╡реНрдпрдХреНрддрд┐ рдЗрд╕ рд╡рд┐рдЪрд╛рд░ рд╕реЗ рдкреНрд░реЗрд░рд┐рдд рд╣реЛрдЧрд╛ рдФрд░ рдЗрд╕реЗ рдирдП рд╕рд╛рд▓ рддрдХ рдЦреБрдж рдХреЗ рд▓рд┐рдП рдмрдирд╛ рджреЗрдЧрд╛, рдЕрднреА рднреА рд╕рдордп рд╣реИред
рдПрдХ рдХрд░реНрдордЪрд╛рд░реА рдмрдирд╛рдиреЗ рдХреЗ рд▓рд┐рдП рдЖрдкрдХреЛ рдЖрд╡рд╢реНрдпрдХрддрд╛ рд╣реЛрдЧреА:
- рдкреНрд▓рд╛рд╕реНрдЯрд┐рдХ рдкрд╛рдиреА рдХреЗ рдкрд╛рдЗрдк рдХрд╛ рдПрдХ рдЯреБрдХрдбрд╝рд╛ 1.3 рдореАрдЯрд░;
- рд╕рдЬрд╛рд╡рдЯ рдХреЗ рд▓рд┐рдП рдереЛрдбрд╝рд╛ рдЪрдордХрджрд╛рд░ рд╕реНрд╡рдпрдВ-рдЪрд┐рдкрдХрдиреЗ рд╡рд╛рд▓рд╛;
- UTP-5 рдиреЗрдЯрд╡рд░реНрдХ рдХреЗрдмрд▓ рдпрд╛ рдХрд┐рд╕реА рдЕрдиреНрдп рдЙрдкрдпреБрдХреНрдд рдХреЗрдмрд▓ рдХрд╛ рдПрдХ рдЯреБрдХрдбрд╝рд╛;
- рдиреАрд▓реЗ рдПрд▓ рдИ рдбреА, рдХрдо рд╕реЗ рдХрдо 24 рдЯреБрдХрдбрд╝реЗ;
- рдмреИрдЯрд░реА;
- рдкрд╛рд╡рд░ рдмрдЯрди;
- рдХрд┐рд╕реА рднреА рдЫреЛрдЯреЗ рдорд╛рдЗрдХреНрд░реЛрдХрдВрдЯреНрд░реЛрд▓рд░ рдпрд╛ FPGA рдмреЛрд░реНрдб рдореЗрдВ рдХреБрдЫ рдРрд╕рд╛ рд╣реИ рдЬрд┐рд╕реЗ рдЖрдк рдкреНрд░реЛрдЧреНрд░рд╛рдо рдХрд░ рд╕рдХрддреЗ рд╣реИрдВ;
- рдПрдХ рдмрдбрд╝рд╛ рдмреЙрд▓-рдХреНрд░рд┐рд╕рдорд╕ рдЯреНрд░реА рдЦрд┐рд▓реМрдирд╛ рдЬрд┐рд╕реЗ рдЖрдзреЗ рдореЗрдВ рд╡рд┐рднрд╛рдЬрд┐рдд рдХрд┐рдпрд╛ рдЬрд╛ рд╕рдХрддрд╛ рд╣реИ рддрд╛рдХрд┐ рдмреЛрд░реНрдб рдФрд░ рдмреИрдЯрд░реА рджреЛрдиреЛрдВ рдХреЛ рдЗрд╕рдореЗрдВ рдЫрд┐рдкрд╛рдпрд╛ рдЬрд╛ рд╕рдХреЗред
рдЗрд╕ рдлрд╝реЛрдЯреЛ рдореЗрдВ рдЖрд╡рд╢реНрдпрдХ рднрд╛рдЧ рджрд┐рдЦрд╛рдИ рджреЗ рд░рд╣реЗ рд╣реИрдВ:

рдХрд░реНрдордЪрд╛рд░рд┐рдпреЛрдВ рдХрд╛ рдЙрдкрдХрд░рдг рдмрд╣реБрдд рд╕рд░рд▓ рд╣реИред рд▓рд┐рдЦрдиреЗ рдХреЗ рд▓рд┐рдП рд╡рд┐рд╢реЗрд╖ рд░реВрдк рд╕реЗ рдХреБрдЫ рднреА рдирд╣реАрдВ рд╣реИред рд╣рдо рдПрдХ рд╕рдлреЗрдж рдкреНрд▓рд╛рд╕реНрдЯрд┐рдХ рдХреЗ рдкрд╛рдиреА рдХреЗ рдкрд╛рдЗрдк рд▓реЗрддреЗ рд╣реИрдВ рдФрд░ 200 рдорд┐рдореА рдХреА рд╕рдорд╛рди рджреВрд░реА рдкрд░ рдХрдИ рдЫреЗрдж рдбреНрд░рд┐рд▓ рдХрд░рддреЗ рд╣реИрдВред рдореБрдЭреЗ 6 рдЫреЗрдж рдорд┐рд▓реЗред
рд╣рдордиреЗ UTP-5 рдХреЗрдмрд▓ рдХреЛ рдХрдИ рдореБрдбрд╝ рдЬреЛрдбрд╝реЗ рдореЗрдВ рднрдВрдЧ рдХрд░ рджрд┐рдпрд╛ред рдЫрд╣ рдЬреЛрдбрд╝реА рддрд╛рд░реЛрдВ рдХреА рдЬрд░реВрд░рдд рд╣реИред рд╣рдо рдЙрдиреНрд╣реЗрдВ рдкрд╛рдЗрдк рдХреЗ рдПрдХ рдЫреЛрд░ рдХреЗ рдорд╛рдзреНрдпрдо рд╕реЗ рдЫреЗрдж рдФрд░ рдЖрдЙрдЯрдкреБрдЯ рдореЗрдВ рд▓реЙрдиреНрдЪ рдХрд░рддреЗ рд╣реИрдВ, рдЬрд╣рд╛рдВ рдХрд░реНрдордЪрд╛рд░рд┐рдпреЛрдВ рдХреА рдЬрд╛рджреБрдИ рдЧреЗрдВрдж рд╣реЛрдЧреАред рдЬрд╣рд╛рдВ рддрд╛рд░реЛрдВ рдХреЗ рдЬреЛрдбрд╝реЗ рдкрд╛рдЗрдк рдХреЗ рдЫреЗрдж рдореЗрдВ рдЪрд▓реЗ рдЬрд╛рддреЗ рд╣реИрдВ, рд╣рдо рдЙрдиреНрд╣реЗрдВ рд╕рд╛рдл рдХрд░рддреЗ рд╣реИрдВ рдФрд░ рдПрдХ рджреВрд╕рд░реЗ рд╕реЗ рдПрдХ рдирд┐рд╢реНрдЪрд┐рдд рджреВрд░реА рдкрд░ рдкрд╛рдЗрдк рдХреЗ рдЪрд╛рд░реЛрдВ рдУрд░ рдХрд╕рдХрд░ рд▓рдкреЗрдЯрддреЗ рд╣реИрдВред рд╣рдо рдорд┐рд▓рд╛рдк рдХрд░рддреЗ рд╣реИрдВред рд╕рдорд╛рдирд╛рдВрддрд░ 4 рдиреАрд▓реЗ рдПрд▓ рдИ рдбреА рдореЗрдВ рд╕реНрдЯрд╛рдл рд╕реЛрд▓реНрдбрд░ рдХреЗ рдПрдХ рд╕рд░реНрдХрд▓ рдореЗрдВ рдкрд░рд┐рдгрд╛рдорд╕реНрд╡рд░реВрдк "рдмрд╕":

рдкрд╛рдЗрдк рдХреЗ рд╢реАрд░реНрд╖ рдкрд░, рдЖрдкрдХреЛ рдПрдХ рдмрдбрд╝рд╛ рдЫреЗрдж рдбреНрд░рд┐рд▓ рдХрд░рдирд╛ рд╣реЛрдЧрд╛ рдФрд░ рд╡рд╣рд╛рдВ рдХрдВрдЯреНрд░реЛрд▓ рдмреЛрд░реНрдб рдкрд╛рд╡рд░ рдмрдЯрди рдкреЗрд╕реНрдЯ рдХрд░рдирд╛ рд╣реЛрдЧрд╛:

рдореЗрд░реЗ рдкрд╛рд╕ рдирд┐рдпрдВрддреНрд░рдг рдмреЛрд░реНрдб рдХреЗ рд░реВрдк рдореЗрдВ рдордВрдЧрд▓ рд░реЛрд╡рд░ рджреБрдкрдЯреНрдЯрд╛ рд╣реИред рдпрд╣рд╛рдВ рдПрд▓реНрдЯрд░ рдореИрдХреНрд╕ 2 рдХрд╛ рдПрдлрдкреАрдЬреАрдП рд╣реИ, рдФрд░ рдЪреВрдВрдХрд┐ рдмреЛрд░реНрдб рдХреЛ рдореВрд▓ рд░реВрдк рд╕реЗ рдХрдо-рдкрд╛рд╡рд░ рд╡рд╛рд▓реЗ рдЦрд┐рд▓реМрдирд╛ рдЗрдВрдЬрдиреЛрдВ рдХреЛ рдЬреЛрдбрд╝рдиреЗ рдХрд╛ рдЗрд░рд╛рджрд╛ рдерд╛, рдЗрд╕рд▓рд┐рдП рдмреЛрд░реНрдб рдкрд░ рдЪрд┐рдк рдЖрдЙрдЯрдкреБрдЯ рдЕрдзрд┐рдХ рд╡рд░реНрддрдорд╛рди рджреЗрдиреЗ рдХреЗ рд▓рд┐рдП 10 рдЯреБрдХрдбрд╝реЛрдВ рдореЗрдВ рд╕рдВрдпреБрдХреНрдд рд╣реЛрддреЗ рд╣реИрдВред рдмреЛрд░реНрдб рдкрд░ рдРрд╕реЗ рд╕рдВрдпреБрдХреНрдд рдЖрдЙрдЯрдкреБрдЯ рдХреЗ рд╕рд┐рд░реНрдл 6 рд╣реИрдВ - рдпреЗ рдмреЛрд░реНрдб рдХреЗ f0, f1, f2, f3, f4, f5 рдХреЗ "рд╕рд┐рдЧреНрдирд▓" рд╣реИрдВред рдЗрд╕рд▓рд┐рдП рдореИрдВ рд╕реАрдзреЗ FPGA рдХреЗ рдЙрддреНрдкрд╛рджрди рдХреЛ рдЬрд▓рд╛рдиреЗ рдХреЗ рдбрд░ рдХреЗ рдмрд┐рдирд╛ рдЗрди рдирд┐рд╖реНрдХрд░реНрд╖реЛрдВ рд╕реЗ рдПрд▓ рдИ рдбреА рдХреЛ рд╕реАрдзреЗ рдмрд┐рдЬрд▓реА рджреЗ рд╕рдХрддрд╛ рд╣реВрдВред рд╕рд╛рде рд╣реА рдмреЛрд░реНрдб рдкрд░ 8 рдкреАрд▓реЗ рдПрд▓ рдИ рдбреА рд╣реИрдВ - рдЙрдиреНрд╣реЗрдВ рдкреНрд░рдЬреНрд╡рд▓рд┐рдд рднреА рдХрд┐рдпрд╛ рдЬрд╛ рд╕рдХрддрд╛ рд╣реИред рдпрджрд┐ рдХрд░реНрдордЪрд╛рд░рд┐рдпреЛрдВ рдХреЗ рд╢реАрд░реНрд╖ рдкрд░ рдЧреЗрдВрдж рдкрд╛рд░рднрд╛рд╕реА рд╣реИ, рддреЛ рдпрд╣ рдПрдХ рджреГрд╢реНрдп рдкреНрд░рднрд╛рд╡ рднреА рдЬреЛрдбрд╝ рджреЗрдЧрд╛ред
рдпрджрд┐ рдЖрдк Arduino рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░рддреЗ рд╣реИрдВ, рдЙрджрд╛рд╣рд░рдг рдХреЗ рд▓рд┐рдП, рдПрдХ рдХрд░реНрдордЪрд╛рд░реА рдореЗрдВ, рдЖрдкрдХреЛ рдХрдИ рдПрд▓ рдИ рдбреА рдХреЛ рдирд┐рдпрдВрддреНрд░рд┐рдд рдХрд░рдиреЗ рдХреЗ рд▓рд┐рдП рдЯреНрд░рд╛рдВрдЬрд┐рд╕реНрдЯрд░ рдХреБрдВрдЬрд┐рдпреЛрдВ рдХреЗ рд╕рд╛рде рдПрдХ рдЫреЛрдЯреЗ рд╕реНрдХрд╛рд░реНрдл рдХреЛ рдорд┐рд▓рд╛рдк рдХрд░рдирд╛ рдкрдбрд╝ рд╕рдХрддрд╛ рд╣реИ, рдХреНрдпреЛрдВрдХрд┐ рдорд╛рдЗрдХреНрд░реЛрдХрдВрдЯреНрд░реЛрд▓рд░ рдХреЗ рдПрдХ рдкрд┐рди рд╕реЗ 10-15mA рд╕реЗ рдЕрдзрд┐рдХ рдирд╣реАрдВ рд▓реЗрдирд╛ рдмреЗрд╣рддрд░ рд╣реИ, рд▓реЗрдХрд┐рди рд╣рдо рдПрд▓ рдИ рдбреА рдХреЗ рд▓рд┐рдП рдмрд╣реБрдд рдЕрдзрд┐рдХ рд╣реИрдВред
рдирд┐рдпрдВрддреНрд░рдг рдХрд╛рд░реНрдпрдХреНрд░рдо рдХреЗ рд╕рд╛рде рдмреЛрд░реНрдб рдХреЛ рдЪрдордХрд╛рдиреЗ рдХреА рдЖрд╡рд╢реНрдпрдХрддрд╛ рд╣реИред рд╡рд┐рдЪрд╛рд░ рдпрд╣ рд╣реИ рдХрд┐ рдЬрдм рдЖрдк рдХрд░реНрдордЪрд╛рд░рд┐рдпреЛрдВ рдкрд░ рдмрдЯрди рджрдмрд╛рддреЗ рд╣реИрдВ, рддреЛ рдмреЛрд░реНрдб рдЪрд╛рд▓реВ рд╣реЛ рдЬрд╛рддрд╛ рд╣реИ рдФрд░ рдпрд╣ рддреБрд░рдВрдд рдХрд░реНрдордЪрд╛рд░рд┐рдпреЛрдВ рдкрд░ рд░реЛрд╢рдиреА рдбрд╛рд▓рдирд╛ рд╢реБрд░реВ рдХрд░ рджреЗрддрд╛ рд╣реИред рдлрд┐рд░ рдХрд┐рд╕рдХреЗ рдкрд╛рд╕ рдХрд▓реНрдкрдирд╛ рд╣реИред рдореИрдВ рдкрд╣рд▓реА рдмрд╛рд░ рдкрд╣рд▓реА рдкрдВрдХреНрддрд┐ рд╕реЗ рдЫрдареА рддрдХ рд╕рднреА рдПрд▓ рдИ рдбреА рдХреЛ рдкреНрд░рдХрд╛рд╢ рдореЗрдВ рд▓рд╛рддрд╛ рд╣реВрдВ, рдФрд░ рдлрд┐рд░ рдореИрдВ рдЫрдареА рд╕реЗ рдкрд╣рд▓реА рддрдХ рдХреЗ рдореЛрдбрд╝ рдХреЛ рдмрдВрдж рдХрд░ рджреЗрддрд╛ рд╣реВрдВред
рд╡реЗрд░рд┐рд▓реЙрдЧ рдПрдЪрдбреАрдПрд▓ рдХреЛрдб рд╢рд╛рдпрдж рдмреЗрд╣рддрд░ рд╕рдордЭрд╛рдПрдЧрд╛ рдХрд┐ рдореЗрд░рд╛ рдХреНрдпрд╛ рдорддрд▓рдм рд╣реИ:
module effect( input wire clk, input wire mclk, output wire [7:0]leds, output wire f0, output wire f1, output wire f2, output wire f3, output wire f4, output wire f5 ); reg [3:0]cnt; reg [5:0]bits; initial begin bits= 6'h00; cnt = 4'h0; end always @(posedge clk) if(cnt==11) cnt<=0; else cnt <= cnt + 1'b1; always @* begin case(cnt) 4'h0: bits = 6'b000001; 4'h1: bits = 6'b000011; 4'h2: bits = 6'b000111; 4'h3: bits = 6'b001111; 4'h4: bits = 6'b011111; 4'h5: bits = 6'b111111; 4'h6: bits = 6'b011111; 4'h7: bits = 6'b001111; 4'h8: bits = 6'b000111; 4'h9: bits = 6'b000011; 4'ha: bits = 6'b000001; default: bits = 6'b000000; endcase end assign f0 = bits[0] & mclk; assign f1 = bits[1] & mclk; assign f2 = bits[2] & mclk; assign f3 = bits[3] & mclk; assign f4 = bits[4] & mclk; assign f5 = bits[5] & mclk; assign leds = {bits[5],bits[5],bits[4],bits[3],bits[2],bits[1],bits[0],bits[0]}; endmodule
рдпрд╣ рдПрдХ рд╢реАрд░реНрд╖-рд╕реНрддрд░реАрдп рдореЙрдбреНрдпреВрд▓ рдирд╣реАрдВ рд╣реИ, рдпрд╣ рдПрдХ рдкреНрд░рднрд╛рд╡ рд╣реИ редv рдореЙрдбреНрдпреВрд▓ред рд╢реАрд░реНрд╖ рдореЙрдбреНрдпреВрд▓ рд╕реЗ, clk ~ 40Hz рдХреА рджреЛ рдШрдбрд╝реА рдЖрд╡реГрддреНрддрд┐рдпрд╛рдВ рдпрд╣рд╛рдВ рдЦрд┐рд▓рд╛рдИ рдЬрд╛рддреА рд╣реИрдВ - рдпрд╣ рдЖрд╡реГрддреНрддрд┐ рдкреНрд░рднрд╛рд╡ рдХреА рдЧрддрд┐ рдФрд░ рджреВрд╕рд░реА рдЖрд╡реГрддреНрддрд┐ mclk ~ 320Hz, рдЖрда рдЧреБрдирд╛ рдЕрдзрд┐рдХ рдирд┐рд░реНрдзрд╛рд░рд┐рдд рдХрд░рддреА рд╣реИред Mclk рд╕рд┐рдЧреНрдирд▓ рдЕрддрд┐рд░рд┐рдХреНрдд рд░реВрдк рд╕реЗ рдЖрдЙрдЯрдкреБрдЯ рдХреЛ рдлрд┐рд░ рд╕реЗ рд╕рдВрд╢реЛрдзрд┐рдд рдХрд░рддрд╛ рд╣реИ, рддрд╛рдХрд┐ рд╡реЗ рд╣рдореЗрд╢рд╛ рдЪрд╛рд▓реВ рди рд╣реЛрдВ, рддрд╛рдХрд┐ рдПрд▓ рдИ рдбреА рдЗрддрдиреА рдЪрдордХ рд╕реЗ рди рдЪрдордХреЗрдВ рдФрд░ рдЪрд┐рдк рдЧрд░реНрдо рди рд╣реЛред
рдореБрдЭреЗ рдирд╣реАрдВ рдкрддрд╛ рдХрд┐ рдореБрдЭреЗ рдЗрд╕ рд▓реЗрдЦ рдХреЛ рдпрд╛рдж рдХрд░рдиреЗ рдХреА рдЖрд╡рд╢реНрдпрдХрддрд╛ рд╣реИ рдпрд╛ рдирд╣реАрдВред рд▓реЗрдХрд┐рди рд╕рд┐рд░реНрдл рдЗрд╕ рдорд╛рдорд▓реЗ рдореЗрдВ, рдореИрдВ рдпрд╣ рд▓рд┐рдЦреВрдВрдЧрд╛ рдХрд┐ рдКрдкрд░ рджрд┐рдП рдЧрдП рд╡реЗрд░рд┐рд▓реЙрдЧ рдХреЛрдб рдХреЛ рдЗрд╕ рдЯреЗрд╕реНрдЯрдмреЗрдВрдЪ рдХрд╛ рдЙрдкрдпреЛрдЧ рдХрд░рдХреЗ рдкреВрд░реА рддрд░рд╣ рд╕реЗ рдирдХрд▓реА рдХрд┐рдпрд╛ рдЬрд╛ рд╕рдХрддрд╛ рд╣реИ:
`timescale 1ms / 1us module tb; reg clock = 1'b0; always #10 clock = ~clock; reg [3:0]counter=0; always @(posedge clock) counter <= counter+1; wire [7:0]wleds; wire wf0,wf1,wf2,wf3,wf4,wf5; effect inst( .clk( counter[2] ), .mclk( clock ), .leds( wleds ), .f0( wf0 ), .f1( wf1 ), .f2( wf2 ), .f3( wf3 ), .f4( wf4 ), .f5( wf5 ) ); initial begin $dumpfile("out.vcd"); $dumpvars(0,tb); #10000; $finish(); end endmodule
рдкрд░рд┐рдпреЛрдЬрдирд╛рдУрдВ рдХрд╛ рдЕрдиреБрдХрд░рдг рдХрд┐рддрдирд╛ рд╕рдЯреАрдХ рд╣реИ, рдЙрджрд╛рд╣рд░рдг рдХреЗ рд▓рд┐рдП,
рдпрд╣рд╛рдВ рдкрдврд╝рд╛ рдЬрд╛ рд╕рдХрддрд╛
рд╣реИ ред
рдЦреИрд░, рд╕рд┐рдореБрд▓реЗрд╢рди рдХреЗ рдкрд░рд┐рдгрд╛рдореЛрдВ рдХреЗ рдЕрдиреБрд╕рд╛рд░, рдЖрдк рд╕рдВрдХреЗрддреЛрдВ рдХреЗ рдЗрди рд╕рдордп рдЖрд░реЗрдЦ рдкреНрд░рд╛рдкреНрдд рдХрд░ рд╕рдХрддреЗ рд╣реИрдВ:

рдпрджрд┐ рдЖрдк Arduin рдкрд░ рдЗрд╕ рдкрд░рд┐рдпреЛрдЬрдирд╛ рдХреЛ рдХрд░рдиреЗ рдХреА рдпреЛрдЬрдирд╛ рдмрдирд╛ рд░рд╣реЗ рд╣реИрдВ - рдпрд╣ рднреА рд╕рдВрднрд╡ рд╣реИред рдореИрдВ рд╡рд╛рд╕реНрддрд╡ рдореЗрдВ Arduina рдХрд╛ рдмрдбрд╝рд╛ рдкрд╛рд░рдЦреА рдирд╣реАрдВ рд╣реВрдВ, рд▓реЗрдХрд┐рди рдореБрдЭреЗ рд▓рдЧрддрд╛ рд╣реИ рдХрд┐ рдХреЛрдб рдХреБрдЫ рдЗрд╕ рддрд░рд╣ рджрд┐рдЦ рд╕рдХрддрд╛ рд╣реИ:
int start_pin = 2; int end_pin = 8; void setup() { for (int i = start_pin; i< end_pin; i++ ) pinMode(i, OUTPUT); } void loop() { for (int i = start_pin; i< end_pin; i++ ) { digitalWrite(i, HIGH); delay(250); } for (int i = start_pin; i< end_pin; i++ ) { digitalWrite(i, LOW); delay(250); } }
рдкрд░рд┐рдгрд╛рдо рдХреБрдЫ рдЗрд╕ рддрд░рд╣ рд╣реЛрдирд╛ рдЪрд╛рд╣рд┐рдП:
рдЗрд╕ рддрдереНрдп рдХреЗ рдмрд╛рд╡рдЬреВрдж рдХрд┐ рд╕рдордЧреНрд░ рд░реВрдк рд╕реЗ рдкрд░рд┐рдпреЛрдЬрдирд╛ рдмрд╣реБрдд рд╕рд░рд▓ рд╣реИ, 31 рджрд┐рд╕рдВрдмрд░ рдХреЛ рджрд░реНрд╢рдХ рдФрд░ рдЖрдХрд╕реНрдорд┐рдХ рд░рд╛рд╣рдЧреАрд░ рд╣рдореЗрд╢рд╛ рдЙрддреНрд╕рд╛рд╣рд┐рдд рд░рд╣рддреЗ рд╣реИрдВред рдЦрд╛рд╕рдХрд░ рдЬрдм рдЖрдк рдмрд░реНрдлреАрд▓реА рд╕рдбрд╝рдХ рдкрд░ рд╕рд╛рдВрддрд╛ рдХреНрд▓реЙрдЬ рдХреЗ рд╕реВрдЯ рдореЗрдВ рдХрд╛рд░ рд╕реЗ рдмрд╛рд╣рд░ рдирд┐рдХрд▓рддреЗ рд╣реИрдВ, рддреЛ рдЖрдк рдПрдХ рдпрд╛рджреГрдЪреНрдЫрд┐рдХ рд░рд╛рд╣рдЧреАрд░ рд╕реЗ рд╕рдВрдкрд░реНрдХ рдХрд░рддреЗ рд╣реИрдВ рдФрд░ рдПрдХ рдХрд░реНрдордЪрд╛рд░реА рдХреЗ рд╕рд╛рде рд░рд╛рд╕реНрддрд╛ рд░реЛрд╢рди рдХрд░рддреЗ рд╣реИрдВ, рдЖрдк рдПрдХ рдордВрджрд╛рд░рд┐рди рдмрддрдЦ рджреЗрддреЗ рд╣реИрдВред