24-29 April, serangkaian seminar untuk anak sekolah akan diadakan di Kiev, yang tidak akan terlihat seperti kelas biasa dengan prosesor bawaan yang digunakan Lego / Arduino / Rasberry Pye sebagai standar. Fokus utama dari seminar ini bukan untuk mengajarkan bagaimana memprogram prosesor, tetapi untuk menunjukkan prinsip-prinsip bagaimana prosesor dirancang di dalam. Ini seperti perbedaan antara "mengajarkan cara mengemudi mobil" dan "mengajarkan cara merancang mesin". Pada seminar, siswa akan menggunakan bahasa deskripsi perangkat Verilog dan sintesis logis - teknologi yang digunakan oleh pengembang chip digital di Apple, Samsung dan perusahaan elektronik lainnya.
Halaman resmi utama seminar,
pendaftaran di sana .
Untuk latihan, papan dengan FPGA digunakan - matriks elemen logis dengan fungsi variabel (ini bukan "prosesor + memori" biasa). FPGA telah lama digunakan untuk mengajar siswa cara mendesain elektronik di Stanford dan MIT, dan baru-baru ini di universitas Rusia dan Ukraina. Menggunakan FPGA untuk mendidik anak-anak sekolah adalah sebuah eksperimen, dan Anda memiliki kesempatan untuk berpartisipasi di dalamnya. Untuk memfasilitasi masuk ke Verilog dan FPGA, pertama-tama kita akan melakukan latihan dengan sirkuit mikro dengan sedikit integrasi pada papan prototipe solderless. Kemudian kita akan berurusan dengan FPGA dan pada hari terakhir seminar kita akan membandingkan desain FPGA dengan pemrograman mikroprosesor untuk Internet of things.
Anda akhirnya akan melihat apa yang terjadi antara transistor dan Arduino, di area di mana siswa jarang melihat!

Program untuk siswa sekolah menengah dan juniorSenin, 24 April. Kita memulai jalan menuju FPGA: logika kombinasional dan aritmatika biner pada sirkuit mikro dengan tingkat integrasi kecilLokasi: Ruang Siswa Belka KPI
- 16: 00-17: 00 Alexander Barabanov, KNU. Dari fisika ke logika.
- Apa arus, tegangan dan resistansi.
- Bagaimana cara kerja papan tempat memotong roti?
- Latihan 1. Sirkuit pertama dengan baterai, LED, dan resistor. Mengapa menghubungkan resistor ke sirkuit dengan LED.
- Apa itu transistor dan bagaimana elemen logis dibangun darinya.
- Latihan 2. Mengamati operasi transistor.
- 17: 00-18: 00 Yuri Panchul, Imagination Technologies. Aljabar Boolean logika, elemen logis DAN-ATAU TIDAK.
- Latihan 3. Setiap siswa diberi chip logika pribadi dari seri CMOS 4000, dengan deskripsi teknis dari pabrikan, dengan tugas menggambar tabel kebenaran, memperagakan pekerjaannya dan secara verbal menggambarkan fungsinya. Elemen logika AND, OR, XOR, NOR, NAND dengan jumlah input yang berbeda.
- Latihan 4. Kami mempelajari apa itu pull-up resistor dan mengapa mereka diperlukan. Tambahkan 3 tombol dan resistor pull-up ke Latihan.
- 18: 00-19: 00 Binari hitung, penjumlahan, dan perkalian.
- Latihan 5. Setiap siswa diberi penambah CMOS 4008. Peragaan penambah di papan tempat memotong roti.
- 19: 00-21: 00 Latihan tambahan untuk mereka yang menghadapi latihan 1-5 dan tidak ingin pulang.
- Latihan 6. Indikator tujuh segmen dan drivernya, sebagai contoh sirkuit kombinasional. Kesimpulan hasil penambah pada indikator tujuh segmen.
Selasa 25 April. Tambahkan memori: Logika berurutan pada sirkuit mikro dengan sedikit integrasi.- 16: 00-16: 30 Yuri Panchul. Logika berurutan adalah apa yang membuat komputer “pintar,” ia memberinya memori dan kemampuan untuk mengulangi operasi.
- 16: 30-17: 00 Yuri Panchul dan instruktur di KPI.
- Apa itu pemicu-D.
- Latihan 7. Kami menghubungkan generator jam berdasarkan penghitung 555 di D-flip-flop dan memeriksa operasi sirkuit yang dikompilasi.
- 17: 00-19: 00 Unsur logika sekuensial yang lebih kompleks.
- Latihan 8. Ganti register dan lampu bergerak.
- Latihan 9. Counter dengan kesimpulan negara pada indikator tujuh segmen.
- 19: 00-21: 00 Eugene Korotky, KPI. Latihan tambahan untuk mereka yang telah menyelesaikan latihan 6-8 dan tidak ingin pulang.
- Latihan 10. Kami memeriksa generator jam berdasarkan pengatur waktu 555 chip. Kami mengamati bagaimana periode frekuensi clock bervariasi tergantung pada resistansi resistor dan kapasitansi kapasitor.
Rabu 26 April. Logika kombinasional dan aritmatika biner pada Verilog dan FPGA.Lokasi: Ruang siswa Belka KPI.
- 16: 00-16: 30 Yuri Panchul, serta instruktur dari KPI, KNU. Pengantar cepat ke bahasa deskripsi perangkat keras Verilog dan penggunaan sintesis logis untuk FPGA / FPGA. Kami hanya mempertimbangkan logika kombinasional.
- 16: 30-17: 00 Instruktur dari KPI, KNU. Logika Raman di Verilog.
- Latihan 11. Ulangi latihan 2 (elemen logis) pada FPGA menggunakan papan Digilent CMOD A7 35T: Breadboardable Artix-7 Modul FPGA.
- Latihan 12. Kami menampilkan huruf pertama dari nama kami dan nama keluarga pada indikator tujuh segmen, beralih di antara mereka menggunakan tombol.
- Latihan 13. Ulangi Latihan 3 (penambah) pada FPGA. Hasilnya ditampilkan pada indikator tujuh segmen.
- 19: 00-21: 00. Latihan tambahan untuk mereka yang telah menguasai latihan 9-11 dan tidak ingin pulang.
- Latihan 14. Hirarki modul. Konstruksi multiplexer dengan submodula.
- Latihan 15. Memodelkan kode Verilog tanpa FPGA. Kami menciptakan lingkungan untuk memeriksa operasi sirkuit yang dijelaskan dalam Verilog. Kami menggunakan simulator Icarus Verilog untuk pemodelan dan program GTKWave untuk melihat diagram waktu.
Contoh kode dalam bahasa deskripsi perangkat keras Verilog, yang diterjemahkan (disintesis) ke dalam rangkaian:
module counter ( input clock, input reset_n, output reg [31:0] count ); always @(posedge clock or negedge reset_n) begin if (! reset_n) count <= 32'b0; else count <= count + 32'b1; end endmodule //---------------------------------------------------------------------------- module seven_segment_display_driver ( input [3:0] number, output reg [6:0] abcdefg ); // abcdefg dp // 7 6 4 2 1 9 10 5 7- // 7 6 5 4 3 2 1 pio // --a-- // | | // fb // | | // --g-- // | | // ec // | | // --d-- always @* case (number) 4'h0: abcdefg = 7'b1111110; 4'h1: abcdefg = 7'b0110000; 4'h2: abcdefg = 7'b1101101; 4'h3: abcdefg = 7'b1111001; 4'h4: abcdefg = 7'b0110011; 4'h5: abcdefg = 7'b1011011; 4'h6: abcdefg = 7'b1011111; 4'h7: abcdefg = 7'b1110000; 4'h8: abcdefg = 7'b1111111; 4'h9: abcdefg = 7'b1111011; 4'ha: abcdefg = 7'b1110111; 4'hb: abcdefg = 7'b0011111; 4'hc: abcdefg = 7'b1001110; 4'hd: abcdefg = 7'b0111101; 4'he: abcdefg = 7'b1001111; 4'hf: abcdefg = 7'b1000111; endcase endmodule //---------------------------------------------------------------------------- module top ( input CLK, // 12 MHz inout [48:1] pio // GPIO, General-Purpose Input/Output ); wire reset_n = ! pio [8]; wire [31:0] count; counter counter_i ( .clock ( CLK ), .reset_n ( reset_n ), .count ( count ) ); seven_segment_display_driver display_driver_i ( .number ( count [26:23] ), .abcdefg ( pio [ 7: 1] ) ); endmodule
Kamis 27 April. Logika berurutan dan mesin status pada Verilog dan FPGA.Lokasi: Ruang siswa Belka KPI.
- 16: 00-17: 00. Yuri Panchul. Logika berurutan pada Verilog, mesin negara, konsep metodologi RTL (register level transmisi), keterlambatan propagasi sinyal dan pembatasan sintesis sirkuit digital, menentukan frekuensi clock maksimum yang mungkin dari sirkuit digital.
- 17: 00-19: 00. Instruktur dari KPI, KNU. Logika berurutan di Verilog.
- Latihan 16. Counter dengan kesimpulan negara pada indikator tujuh segmen. Menerapkan Latihan 9 di FPGA.
- Latihan 17. Ganti register dan lampu bergerak. Menerapkan Latihan 8 pada FPGA.
- Latihan 18. Menghasilkan nada suara dari berbagai frekuensi dan overlaynya.
- 19: 00-21: 00. Latihan tambahan untuk mereka yang menghadapi latihan 17-18 dan ingin bekerja.
- Latihan 19. “Senyum siput”: contoh dari mesin keadaan terbatas.
- Latihan 20. Membangun konveyor hitung. Kami menggunakan simulator Icarus Verilog untuk mengatur sirkuit berurutan.
Jumat 28 April. Perbandingan desain sirkuit dengan pemrograman mikroprosesor tertanam.Lokasi: Ruang siswa Belka KPI.
- 16: 00-16: 30. Yuri Panchul. Bagaimana pemrograman berbeda dari sirkuit. Mesin von Neumann adalah kasus khusus dari sirkuit elektronik. Program seperti rantai instruksi untuk mesin von Neumann. Tinjauan tentang inti mikroprosesor yang digunakan dalam MediaTek MT7688 dan Microchip PIC32MZ.
- 16: 30-19: 00 Eugene Korotky. Contoh: Platform LinkIt Smart 7688 Internet of Things didukung oleh MediaTek MT7688.
- Latihan 21. menginstal LED menggunakan program Python.
- Latihan 22. Membaca data dari Sensor Cahaya Ambient PMOD ALS Digilent menggunakan protokol SPI.
- Latihan 23. Pengantar konsep interupsi.
- 19: 00-21: 00. Latihan tambahan untuk mereka yang telah menguasai latihan di LinkIt Smart 7688 dan ingin terus bekerja. Contoh: Mikrokontroler Microchip PIC32.
- Latihan 24. berkedip LED menggunakan program C.
- Latihan 25. Membaca data dari Sensor Cahaya ALS Digilent PMOD menggunakan protokol SPI.
- Latihan 26. Membongkar program utama menggunakan interupsi. Gangguan dari timer memicu transaksi SPI, gangguan dari SPI menandakan penerimaan paket.
Sabtu 29 April. HackathonTempat: Universitas Nasional “Akademi Kyiv-Mohyla”
- Proyek dengan sirkuit mikro dengan tingkat integrasi kecil: "Detektor kelembaban tanah", "Sirene polisi"
- Proyek dengan FPGA: antarmuka untuk sensor, mesin negara dari jenis "kode kunci"
- Proyek dengan mikrokontroler dan mikroprosesor tertanam untuk Internet of Things: koneksi dengan sensor dan aktuator
Program untuk siswa sekolah dasarApril Intensif "Pengantar Elektronik"Senin, 24 April. Keakraban dengan dasar-dasar: Hukum Ohm, elemen elektronik pasif (resistor dan kapasitor)
Tempat: Kantor Radiomag Ukraine LLC, Chokolovsky Boulevard, 42-A
Waktu: 17: 00-19: 00
Dosen: Sergey Chenash
- Apa arus, tegangan dan resistansi, hukum Ohm
- Bagaimana papan tempat memotong roti
- Cara bekerja dengan multimeter
- Resistor dan aplikasinya
- Kapasitor sebagai perangkat penyimpanan muatan listrik dan aplikasinya
Selasa 25 April. Komponen elektronik aktif: struktur dan prinsip pengoperasian dioda dan transistor
Tempat: Kantor Radiomag Ukraine LLC, Chokolovsky Boulevard, 42-A
Waktu: 17: 00-19: 00
Dosen: Sergey Chenash
- Karakteristik semikonduktor, struktur persimpangan pn
- Cara kerja dioda dan klasifikasinya
- Prinsip pengoperasian transistor dan aplikasinya
Kamis 27 April. Studi tentang unsur-unsur optoelektronika: LED, photoresistors, phototransistors, optocouplers
Tempat: Kantor Radiomag Ukraine LLC, Chokolovsky Boulevard, 42-A
Waktu: 17: 00-19: 00
Dosen: Sergey Chenash
- Elemen optoelektronika, prinsip operasi dan aplikasi
Jumat 28 April. Memperkenalkan Chip NE555
Tempat: Kantor Radiomag Ukraine LLC, Chokolovsky Boulevard, 42-A
Waktu: 17: 00-19: 00
Dosen: Sergey Chenash
- Apa itu sirkuit mikro dan klasifikasinya
- Jenis Sinyal dalam Elektronik
- Keakraban dengan chip NE555, menciptakan generator
- Bekerja dengan osiloskop
Sabtu 29 April. Solder Bonus
Tempat: Kantor Radiomag Ukraine LLC, Chokolovsky Boulevard, 42-A
Waktu: 11: 00-12: 30

Sampai jumpa di seminar!
- Laboratorium Terbuka Elektronika Lampa dan Ruang Mahasiswa Belka, Universitas Teknik Nasional Ukraina "Institut Politeknik Kiev dinamai Igor Sikorsky"
- Kyiv National Taras Shevchenko University
- Universitas Nasional "Akademi Kyiv-Mohyla"
- Akademi Ilmu Pengetahuan Kecil Ukraina
- LLC "Radiomag Ukraina"
- Teknologi imajinasi
Halaman resmi utama seminar,
pendaftaran di sana .